CN109768011B - 通孔结构及其形成方法 - Google Patents

通孔结构及其形成方法 Download PDF

Info

Publication number
CN109768011B
CN109768011B CN201810450493.7A CN201810450493A CN109768011B CN 109768011 B CN109768011 B CN 109768011B CN 201810450493 A CN201810450493 A CN 201810450493A CN 109768011 B CN109768011 B CN 109768011B
Authority
CN
China
Prior art keywords
layer
sacrificial
recess
conductive
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810450493.7A
Other languages
English (en)
Other versions
CN109768011A (zh
Inventor
黄伟杰
陈界璋
许峰嘉
徐晨祐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109768011A publication Critical patent/CN109768011A/zh
Application granted granted Critical
Publication of CN109768011B publication Critical patent/CN109768011B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8418Electrodes adapted for focusing electric field or current, e.g. tip-shaped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Abstract

一种方法包括提供具有导电柱的衬底、位于导电柱上方的介电层和位于介电层上方的多个牺牲块,从顶视图中多个牺牲块围绕导电柱;沉积覆盖多个牺牲块的牺牲层,牺牲层具有正位于导电柱之上的凹槽;在牺牲层上方沉积硬掩模层;从凹槽的底部去除硬掩模层的部分,使用硬掩模层作为蚀刻掩模来蚀刻凹槽的底部,从而暴露导电柱的顶面;并且在凹槽内形成导电材料,导电材料与导电柱的顶面物理接触。本发明的实施例还涉及通孔结构及其形成方法。

Description

通孔结构及其形成方法
技术领域
本发明的实施例涉及通孔结构及其形成方法。
背景技术
半导体集成电路(IC)产业经历了指数增长。IC材料和设计上的技术进步产生了多代IC,其中,每一代都具有比先前一代更小且更复杂的电路。在IC发展过程中,功能密度(即单位芯片面积上互连器件的数量)通常增大,而几何尺寸(即,使用制造工艺可以创建的最小的组件(或线))减小。该按比例缩小工艺通常通过提高生产效率和降低相关成本而提供益处。这种按比例缩小还增加了处理和制造IC的复杂程度,并且为了实现这些进步,需要在IC处理和制造中的类似的发展。
例如,相变存储器在电流通过加热元件的情况下工作,以快速加热并将相变材料淬火成非晶态或结晶态,并且通常希望制造尽可能小的加热元件。在一些实施例中,诸如由氮化钛(TiN)制成的通孔的紧凑的加热元件与相变材料物理接触,由于其较小的尺寸有助于减小相变存储器的形状因数,并且由于其较高的加热效率还增加了相变存储器的速度。然而,随着半导体技术进行至较小的几何形状,不限于相变存储器,用于通孔图案化的传统的光刻胶方法受到光刻胶组分的分辨率和成分的限制,其中,光刻胶组分可能遭受光刻胶浮渣和不良的临界尺寸均匀性(CDU)问题。因此,尽管形成通孔的现有方法通常能够满足它们的预期目的,但它们还没有在各个方面都完全令人满意。
发明内容
本发明的实施例提供了一种形成半导体器件的方法,包括:提供具有导电柱的衬底、位于所述导电柱上方的介电层以及位于所述介电层上方的多个牺牲块,从顶视图中,所述多个牺牲块围绕所述导电柱;沉积覆盖所述多个牺牲块的牺牲层,所述牺牲层具有正位于所述导电柱之上的凹槽;在所述牺牲层上方沉积硬掩模层;从所述凹槽的底部去除所述硬掩模层的部分;使用所述硬掩模层作为蚀刻掩模来蚀刻所述凹槽的底部,从而暴露所述导电柱的顶面;以及在所述凹槽内形成导电材料,所述导电材料与所述导电柱的顶面物理接触。
本发明的另一实施例提供了一种形成半导体器件的方法,包括:提供具有底部电极的半导体衬底;在所述半导体衬底之上形成化学机械平坦化(CMP)停止层;在所述化学机械平坦化停止层之上形成第一牺牲层;图案化所述第一牺牲层以形成从顶视图中围绕所述底部电极的多个牺牲块;在所述多个牺牲块上方沉积第二牺牲层,其中,所述第二牺牲层具有正位于所述底部电极之上的凹槽;从所述凹槽的底部去除所述第二牺牲层的部分,从而在所述凹槽的底部处暴露所述化学机械平坦化停止层;通过所述凹槽的底部蚀刻所述化学机械平坦化停止层,从而在所述化学机械平坦化停止层中形成通孔;以及用导电材料填充所述通孔,其中,所述导电材料与所述底部电极物理接触。
本发明的又一实施例提供了一种半导体器件,包括:衬底;底部电极,位于所述衬底中;介电层,位于所述底部电极之上;导电通孔,穿过所述介电层,所述导电通孔与所述底部电极物理接触并且具有小于1.0的宽高比;硫族化物玻璃层,位于所述导电通孔上之上;以及顶部电极,位于所述硫族化物玻璃层之上。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1是根据一些实施例的具有相变随机存取存储器(PCRAM)单元的半导体器件的截面图。
图2A和图2B示出根据本发明的各个方面的形成具有PCRAM单元的半导体器件的方法的流程图。
图3、图4、图5A、图6、图7、图8、图9、图10、图11、图12、图13、图14和图15是根据一些实施例的具有根据图2A和图2B中的方法构造的PCRAM单元的半导体器件的截面图。
图5B至图5D示出根据一些实施例的半导体器件的PCRAM单元的顶视图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…下方”、“在…下面”、“下部”、“在…之上”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。另外,当用“约”、“近似”等描述数字或数字范围时,除非另有说明,否则该术语旨在包括在所述数字的+/-10%范围内的数字。例如,术语“约5nm”包括从4.5nm至5.5nm的尺寸范围。
本发明通常涉及半导体器件中的通孔结构及其形成方法。更特别地,本发明涉及提供作为相变存储器单元中的加热元件的底部通孔的方法和结构。相变存储器也称为相变随机存取存储器(PCRAM),其是非易失性存储器的一种类型,其中,在一些实施例中,诸如硫族化物半导体的相变材料用于存储状态。例如,通过流过产生热量的加热元件的电流,相变材料中的功能区的状态在结晶态和非晶态之间切换。在结晶态中,相变材料具有低电阻率,而在非晶态中具有高电阻率。相变材料在结晶态和非晶态两者中的特定温度范围内是稳定的,并且可以通过热激发在两个状态之间来回切换。非晶态和结晶态中的相变材料的电阻率比通常大于1000,并且然后使用功能区的状态来表示存储的数据。例如,如果功能区处于结晶态,则在热激发之后,所存储的数据是低逻辑电平(例如,低)。如果功能区处于非晶态,则所存储的数据是高逻辑电平(例如,高)。PCRAM具有包括高速、低功率、非易失性、高密度和低成本的多个操作和工程优势。例如,PCRAM器件是非易失性的,并且可以快速写入,例如,在小于约50纳秒内。PCRAM单元可以具有高密度并且与CMOS逻辑兼容并且通常可以以比其他类型的存储器单元更低的成本进行生产。
图1示出根据实施例的具有PCRAM单元的半导体器件100的截面图。半导体器件100包括衬底102(在图1中部分示出)。衬底102可以是由诸如硅、硅锗、砷化镓等的半导体材料形成的半导体衬底,并且可以是块块状衬底或绝缘体上半导体衬底。半导体器件100包括将要形成一个或多个PCRAM单元106的PCRAM区104a和可以是逻辑电路区的外围区104b,其中,该逻辑电路区包括但不限于PCRAM单元的控制电路。
衬底102包括一个或多个导电柱108a和108b。导电柱108a和108b可以由钨(W)、铝(Al)、铜(Cu)、AlCu和/或其他合适的导电材料形成。形成导电柱108a和108b可以包括单镶嵌工艺或双镶嵌工艺。在又一实施例中,导电柱108a和108b由多晶硅和/或其他合适的材料制成。在一些实施例中,导电柱108a和108b是形成在层间介电(ILD)层中的接触插塞,用于访问形成在衬底102的下层中的晶体管(未示出)的源极/漏极区和/或栅电极。在PCRAM区104a中,导电柱108a也称为PCRAM单元106的底部电极108a。
在PCRAM区104a中,通孔116电连接至底部电极108a,并且被第一介电层110围绕。在一些实施例中,第一介电层110由碳化硅(SiC)、氮化硅(Si3N4)和/或其他合适的材料形成。在一些实施例中,通孔116由氮化钛(TiN)、钨(W)、氮化钽(TaN)和/或其他合适的材料形成。由于通孔116堆叠在相变带124下方,因此通孔116也称为PCRAM单元106的底部通孔116。通孔116也可以称为PCRAM单元106的加热元件116,因为当电流流过通孔116时,通孔116产生的热量将改变相变带124的状态。相变带124电连接至底部通孔116。相变带124包括诸如硫族化物材料和/或化学计量材料的相变材料。在一些实施例中,相变带124包括但不限于锗(Ge)、碲(Te)和锑(Sb)。在一个特定的实例中,相变带124包括GeSbTe合金、AgInSbTe合金或氧化铪化合物。
在PCRAM区104a中,顶部电极128堆叠在相变带124之上并电连接至相变带124。在一些实施例中,顶部电极128由TiN、TaN和/或其他合适的材料形成。可以由第二介电层120围绕相变带124和顶部电极128。第二介电层120可以是ILD层或金属间介电(IMD)层。在一些实施例中,介电层110和120包括不同的材料组成。在一些实施例中,介电层110和120包括相同的材料(例如,Si3N4),从而使得在它们彼此接触的区域中在介电层110和120之间不存在边界。
在一些实施例中,PCRAM单元106还包括由第二介电层120围绕的通孔132a和金属线136a,其中,通孔132a和金属线136a将顶部电极128电连接至上部金属层(未示出)和/或其他金属互连件。通孔132a和金属线136a可以由Al、Cu、AlCu、W和/或其他合适的导电材料形成。形成通孔132a和金属线136a可以包括双镶嵌工艺。类似地,在外围区104b中,通孔132b和金属线136b穿过第一介电层110电连接至导电柱108b。
在PCRAM单元106内,当电流流过底部通孔116和相变带124时,由于其高电阻率,可能在底部通孔116中产生足够的热量,导致相变带124改变状态。底部通孔116的热效率是影响PCRAM单元的写入速度的一个主要因素。具有低的宽高比的底部通孔结构可以表现出比具有高的宽高比的底部通孔结构更高的电阻率。在一些实施例中,底部通孔116具有小于1.0的宽高比(如图1所示的W/H)。还在一些实施例中,底部通孔116具有在从约0.2至约1.0的范围内的宽高比。在一个特定实例中,底部通孔116具有约0.4的宽高比。在又一实施例中,底部通孔116具有在从约0.1至约0.2的范围内的宽高比。底部通孔116的高度可以在从约20nm至约100nm的范围内(诸如约50nm)。
图2A和图2B示出根据本发明的用于形成半导体器件的方法200的流程图。方法200是实例,并且除了权利要求中的明确列举之外,不旨在限制本发明。可在方法200之前、期间和之后提供额外的操作,并且对于方法的额外的实施例,可以替换、消除或重新定位所描述的一些操作。下面结合图3-图15描述方法200,图3-图15示出根据方法200的实施例的在各个制造步骤期间的半导体器件300的截面图。在很多方面,半导体器件300可以大致类似于图1的半导体器件100。
半导体器件300可以是在集成电路(IC)或其部分的处理期间制造的中间器件,IC或其部分可以包括静态随机存取存储器(SRAM)和/或逻辑电路;诸如电阻器、电容器和电感器的无源组件;以及诸如p型FET(pFET)、n型FET(nFET)、FinFET、金属氧化物半导体场效应晶体管(MOSFET)、和互补金属氧化物半导体(CMOS)晶体管、双极结晶体管、高压晶体管、高频晶体管、其他存储器单元和它们的组合的有源组件。此外,本发明的各个实施例中提供的包括晶体管、栅极堆叠件、有源区、隔离结构和其他部件是为了简明和容易理解,并且不必将实施例限制于任何类型的器件、任何数量的器件、任何数量的区域或任何配置的结构或区域。
在操作202处,方法200(图2A)提供半导体器件300(图3)的前体。为了讨论的简便,半导体器件300的前体也称为器件300。器件300可以包括衬底302和形成在其中或其上的各种部件。在所示实施例中,衬底302是硅衬底。可选地,衬底302可以包括诸如锗的另一元素半导体;包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的合金半导体;或它们的组合。在又一可选实施例中,衬底302可以是绝缘体上半导体(SOI)。
衬底302包括第一区304a和第二区304b。第一区304a可以称为PCRAM区304a,其中将形成PCRAM单元,以及第二区304b可以称为外围区304b,其中将形成PCRAM单元的控制电路或其他逻辑电路。衬底302还包括分别位于区域304a和304b中的一个或多个电极(或底部电极)308a和308b。在整个说明书中,电极308a和308b也称为导电柱308a和308b。在一些实施例中,导电柱308a和308b是用于访问形成在衬底302的下层中的晶体管(未示出)的源极/漏极区和/或栅电极的接触插塞。衬底302还可以包括围绕导电柱308a和308b的ILD层。导电柱308a和308b的形成工艺可以包括单镶嵌或双镶嵌工艺,其中,在该工艺期间形成ILD层,接着形成开口,并且将金属材料填充到开口中。然后实施化学机械抛光(CMP)工艺以去除多余的金属材料,留下导电柱308a和308b。导电柱308a和308b可以由Al、Cu、AlCu、W或其他金属材料形成。在又一实施例中,导电柱108a和108b由多晶硅形成。由于工艺原因,导电柱308a和308b的每个可以具有锥形轮廓,其中上部比相应的下部更宽。
仍然参考图3,在操作204处,方法200(图2A)在衬底302上方形成介电层310。在后续的操作中,介电层310用作其上形成的其他材料层的CMP停止层。因此,介电层310也可以称为CMP停止层310。介电层310可以包括诸如SiC、Si3N4、氮氧化硅(SiON)和/或氧化硅的介电材料。在所示实施例中,介电层310包括SiC。介电层310可以形成为任何合适的厚度并且可以通过包括化学汽相沉积(CVD)、低压CVD(LPCVD)、高密度等离子体CVD(HDP-CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)和/或其他合适的沉积工艺的任何合适的工艺形成。在所示实施例中,介电层310具有约20nm至约100nm(诸如约50nm)的厚度。
在操作206处,方法200(图2A)在介电层310上方形成第一牺牲层320(图4)。第一牺牲层320可以包括诸如Si3N4、正硅酸乙酯(TEOS)氧化物、氧化硅、SiON、碳氮化硅(SiCN)、氮氧碳化硅(SiCON)、其他介电材料或它们的组合的介电材料。选择第一牺牲层320的组成,使得第一牺牲层320相对于介电层310具有一些蚀刻选择性。在一些实施例中,第一牺牲层320包括氮化硅。第一牺牲层320可以形成为任何合适的厚度并且可以通过包括CVD、LPCVD、HDP-CVD、PVD、ALD和/或其他合适的沉积工艺的任何合适的工艺来形成。在所示实施例中,第一牺牲层320具有约20nm至约80nm(诸如约50nm)的厚度。
在操作208处,方法200(图2A)图案化第一牺牲层320以形成从PCRAM区304a的顶视图(图5A和图5B)围绕导电柱308a的多个牺牲块320a。图5A是沿着图5B的A-A线的器件300的截面图,其中,图5B示出器件300的PCRAM区304a的顶视图。为了图案化第一牺牲层320,操作208可以包括诸如光刻和蚀刻的各种工艺。光刻工艺可以包括在第一牺牲层320上方形成光刻胶(未示出)。示例性的光刻胶包括对诸如UV光的辐射、深紫外(DUV)辐射和/或EUV辐射敏感的光敏材料。对器件300实施光刻曝光以将光刻胶的选定区域暴露于辐射。曝光导致在光刻胶的曝光区中发生化学反应。曝光后,将显影剂施加至光刻胶。显影剂在正性抗蚀剂显影工艺的情况下溶解或以其他方式去除曝光区,或者在负性抗蚀剂显影工艺的情况下溶解或以其他方式去除未曝光区。合适的正性显影剂包括TMAH(四甲基氢氧化铵)、KOH和NaOH,并且合适的负性显影剂包括诸如乙酸正丁酯、乙醇、己烷、苯和甲苯的溶剂。在显影光刻胶之后,可通过诸如湿蚀刻、干蚀刻、反应离子蚀刻(RIE)、灰化和/或其他合适的蚀刻方法的蚀刻工艺去除第一牺牲层320的暴露部分。通过选择以第一牺牲层320的材料组成为目标,同时抵抗介电层310的蚀刻的蚀刻剂,保留由介电层310覆盖的导电柱308a和308b。在所示实施例中,去除外围区304b中的第一牺牲层320,而保留第一牺牲层320的位于PCRAM区304a中的部分,产生由多个牺牲块320a构成的图案化的第一牺牲层320。在蚀刻之后,可以去除光刻胶。
仍然参考图5A和图5B,牺牲块320a以图案的方式在相邻导电柱308a之间交错,从而使得多个牺牲块320a围绕(或环绕)每个导电柱308a。多个牺牲块320a可以与相应围绕的导电柱308a等距。在所示实施例中,通过四个牺牲块320a围绕每个导电柱308a。在另一实施例中,诸如图5C所示,通过三个牺牲块320a围绕每个导电柱308a。在各个实施例中,可以通过诸如五个或多于五个的任何合适的数量的牺牲块320a围绕每个导电柱308a。作为实例,图5D示出其中五个牺牲块320a围绕每个导电柱308a的实施例。
在所示实施例中,牺牲块320a具有圆柱形状。在其他实施例中,牺牲块320a可以具有诸如顶视图中的正方形或其他多边形形状的各种形状。在所示实施例中,从顶视图中,牺牲块320a与其相应的导电柱308a重叠。在一些实施例中,重叠面积可以小于相应导电柱308a的顶面面积的20%。还在一些实施例中,重叠面积可以是相应导电柱308a的顶面面积的约5%。在又一实施例中,牺牲块320a的侧壁从相应导电柱308a的边缘偏移,从而使得从顶视图中牺牲块320a不与相应导电柱308a重叠。
在操作210处,方法200(图2A)在器件300上方形成覆盖PCRAM区304a和外围区304b(图6)的第二牺牲层330。在所示实施例中,第二牺牲层330在牺牲块320a的顶部和侧壁上方并且在介电层310的暴露的顶面上方沉积为毯式层。用于第二牺牲层330的合适的介电材料包括Si3N4、TEOS氧化物、氧化硅、SiON、SiCN、SiCON、其他介电材料或它们的组合。可以通过包括CVD、LPCVD、HDP-CVD、PVD或ALD的任何合适的技术来沉积介电材料。在许多方面,第二牺牲层330可以大致类似于第一牺牲层320,并且可以对器件300实施类似的沉积工艺以沉积第二牺牲层330。在所示实施例中,第二牺牲层330包括与第一牺牲层320(例如,Si3N4)相同的材料组成,从而使得在它们彼此接触的区域中在第二牺牲层330和牺牲块320a之间不存在边界。在又一实施例中,第二牺牲层330和第一牺牲层320包括不同的材料组成。作为实例,第一牺牲层320可以包括Si3N4并且第二牺牲层330可以包括TEOS氧化物,或者第一牺牲层320可以包括TEOS氧化物并且第二牺牲层330可以包括Si3N4
仍然参考图6,第二牺牲层330在介电材料沉积为毯式层期间在牺牲块320a的位置处形成介电凸块336。在一些实施例中,介电凸块336具有弯曲的侧壁。由围绕相应导电柱308a的牺牲块320a限定的相邻介电凸块336在底部处彼此连接并且在其相应侧壁之间形成凹槽(dip)340。凹槽340是具有底部处的最窄部分和顶部处的最宽开口的锥形轮廓。在一些实施例中,凹槽340的底部低于外围区304b中的第二牺牲层330的顶面。在各个实施例中,凹槽340正位于导电柱308a之上。在一个实例中,凹槽340正位于导电柱308a的中心之上。为了简明,牺牲块320a的厚度表示为h1;介电凸块336的顶部处的第二牺牲层330的厚度表示为h2;凹槽340的底部处的第二牺牲层330的厚度表示为h3;导电柱308a的顶面的宽度表示为w1;两个相邻介电凸块336的顶部之间的距离表示为w2;以及在第二牺牲层330的厚度(h4)为h2的一半(h4=h2/2)的侧壁处测量的凹槽340的开口宽度表示为w4。在一些实施例中,h3/h1的比率为从约0.2至约1.0,h2/h1的比率为从约1.5至约3.0,w4/w1的比率为从约0.1至约0.4,并且w4/w2的比率为从约0.05至约0.4。在一个特定实例中,h3/h1的比率约为0.5,h2/h1的比率约为2,w4/w1的比率约为0.3,以及w4/w2的比率约为0.2。
在操作212处,方法200(图2A)在器件300上方形成覆盖PCRAM区304a和外围区304b的硬掩模层350(图7)。在所示实施例中,硬掩模层350在介电凸块336上方并且在凹槽340的底部和侧壁上方沉积为毯式层。硬掩模层350可以包括TiN、TaN、W、Si3N4、SiC、氧化硅、SiON、SiCN、SiCON、其他合适的材料或它们的组合。选择硬掩模层350的组成,从而使得硬掩模层350相对于第二牺牲层330具有一些蚀刻选择性。在所示实施例中,硬掩模层350包括TiN。在一些实施例中,通过CVD工艺来沉积硬掩模层350。由于CVD工艺的间隙填充能力,相比于凹槽340的底部,沉积材料可能更容易累积在凹槽340的上部处。此外,凹槽340的侧壁的锥形轮廓防止凹槽340的上部开口在其底部被覆盖之前由CVD工艺封闭。可以以使得沉积材料的间隙填充行为保持凹槽340在其底部处具有比在其侧壁上更薄的硬掩模层350的方式来调整CVD工艺中的参数(例如,压力、温度和气体粘度)。在一些实施例中,CVD工艺采用压力小于约0.8托且温度高于约80摄氏度的设置。因此,可以沉积硬掩模层350的材料而不封闭凹槽340的开口,在凹槽340的底部处留下比在其侧壁上更薄的沉积层。在介电凸块336上方且在凹槽340的底部和侧壁上方的各个位置处,硬掩模层350具有不同的厚度。如图7所示,然而在第二牺牲层330的平坦的表面部分上方,硬掩模层350在PCRAM区304a和外围区304b两者中具有表示为Thm的大致恒定的厚度。硬掩模层350可具有从约20nm至约100nm的范围内(诸如60nm)的厚度Thm
在操作214处,方法200(图2A)蚀刻硬掩模层350以暴露凹槽340的底部(图8)。由于在凹槽340的底部处的硬掩模层350的部分比别处更薄,因此比其他部分更早地蚀刻掉底部,导致在凹槽340的底部处暴露第二牺牲层330,同时硬掩模层350仍然覆盖第二牺牲层330的其他部分。蚀刻工艺可以包括诸如湿蚀刻、干蚀刻、RIE、灰化和/或其他蚀刻方法的任何合适的蚀刻技术。选择蚀刻剂以抵抗蚀刻第二牺牲层330。例如,干蚀刻工艺可以采用含氧气体、含氟气体(例如,CF4、SF6、CH2F2、CHF3和/或C2F6)、含氯气体(例如,Cl2、CHCl3、CCl4和/或BCl3)、含溴气体(例如,HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体,和/或它们的组合。例如,湿蚀刻工艺可包括在以下蚀刻剂中的蚀刻:稀释的氢氟酸(DHF);氢氧化钾(KOH)溶液;氨水;包括氢氟酸(HF)、硝酸(HNO3)和/或醋酸(CH3COOH)的溶液;或其他合适的湿蚀刻剂。在所示实施例中,操作214包括由定时控制的湿刻蚀工艺,以削薄硬掩模层350并且仅打开凹槽340的底部。
在操作216处,方法200(图2A)使用硬掩模层350作为蚀刻掩模蚀刻第二牺牲层330(图9)。在蚀刻工艺期间,凹槽340向下延伸并在凹槽340的底部处暴露介电层310。蚀刻工艺可以包括诸如湿蚀刻、干蚀刻、RIE、灰化和/或其他蚀刻方法的任何合适的蚀刻技术。通过选择以第二牺牲层330的材料组成为目标,同时抵抗硬掩模层350和介电层310的蚀刻的蚀刻剂,大致保持凹槽340的侧壁上的硬掩模层350和凹槽340的底部处的介电层310。介电层310保持覆盖导电柱308a和308b。
在操作218处,方法200(图2B)使用第二牺牲层330作为蚀刻掩模蚀刻介电层310(图10)。在蚀刻工艺期间,凹槽340进一步向下延伸并且在凹槽340的底部处暴露导电柱308a。在操作218期间,导电柱308a还用作蚀刻停止层。从凹槽340的底部去除介电层310可以包括诸如湿蚀刻、干蚀刻、RIE、灰化和/或其他蚀刻工艺的任何合适的蚀刻技术。在一些实施例中,选择蚀刻剂从而使得介电层310和第二牺牲层330具有高蚀刻选择性。例如,介电层310和第二牺牲层330之间的蚀刻选择性的比率为约5:1或更大(诸如从5:1至20:1)。由介电层310围绕的凹槽340的底部直接在导电柱308a之上形成通孔354。在后续工艺中,将用导电材料填满通孔354以在PCRAM单元中形成加热元件。根据以上描述,通孔354的形成主要是不使用光刻图案化(除了形成牺牲块320a之外)的自对准工艺,并且可以实现相对低的通孔宽高比。在一些实施例中,通孔354具有小于1.0的宽高比。在又一些实施例,通孔354具有从约0.2至约1.0的范围内的宽高比。在一个特定实例中,通孔354具有约0.4的宽高比。在又一实施例中,通孔354具有在从约0.1至约0.2的范围内的宽高比。
在操作220处,方法200(图2B)用导电材料填充通孔354(图11)。通孔354的填充可以包括在器件300上方沉积覆盖PCRAM区304a和外围区304b的导电材料层356。用于层356的合适的导电材料包括TiN、TaN、W、其他合适的导电材料或它们的组合。可以通过包括镀、CVD、LPCVD、HDP-CVD、PVD或ALD的任何合适的技术沉积导电材料层356。在所示实施例中,通过ALD工艺来沉积导电材料层356,利用ALD工艺的强大的间隙填充能力来填充具有高的宽高比的凹槽340的底部。在一些实施例中,导电材料层356和硬掩模层350包括不同的材料组成。作为实例,导电材料层356可以包括TiN,而硬掩模层350可以包括SiC,或者导电材料层356可以包括TaN,而硬掩模层350可以包括TiN。在所示实施例中,导电材料层356包括例如TiN的与硬掩模层350相同的材料组成,从而使得在它们接触的区域中在导电材料层356和硬掩模层350之间不存在边界。导电材料层356填满由介电层310围绕的通孔354并且升高凹槽340的底部。在一些实施例中,凹槽340的升高的底部高于牺牲块320a的顶面。在一些实施例中,凹槽340的升高的底部低于牺牲块320a的顶面但高于牺牲块320a的底面。
在操作222处,方法200(图2B)实施一个或多个化学机械平坦化(CMP)工艺以抛光器件300并暴露介电层310(图12)。介电层310在CMP工艺期间用作CMP停止层。在CMP工艺之后,去除介电层310之上的诸如牺牲块320a、第二牺牲层330、硬掩模层350和导电材料层356的材料层。在CMP工艺之后,暴露填充在通孔354中的导电材料,其也表示为底部通孔360。在一些实施例中,底部通孔360具有小于1.0的宽高比。还在一些实施例中,底部通孔360具有在从约0.2至约1.0的范围内的宽高比。在一个特定实例中,底部通孔360具有约0.4的宽高比。在又一实施例中,底部通孔360具有在从约0.1至约0.2的范围内的宽高比。底部通孔360的高度可以在从约20nm至约100nm的范围内(诸如约50nm)。
在操作224处,方法200(图2B)在器件300上方形成相变材料层370(图13)。相变材料层370与底部通孔360物理接触。相变材料层370包括诸如硫族化物材料和/或化学计量材料的相变材料。在一些实施例中,相变材料层370包括锗(Ge)、碲(Te)或锑(Sb)。在一个特定的实例中,相变材料层370包括GeSbTe合金、AgInSbTe合金或氧化铪化合物。可以通过包括CVD、LPCVD、HDP-CVD、PVD或ALD的任何合适的技术沉积相变材料层370。
在操作226处,方法200(图2B)在相变材料层370上方形成电极层374(图13)。在一些实施例中,电极层374由TiN、TaN、W和/或其他合适的材料形成。可以通过包括镀、CVD、LPCVD、HDP-CVD、PVD或ALD的任何合适的技术来沉积电极层374。
在操作228处,方法200(图2B)图案化相变材料层370和电极层374以形成堆叠在相应的底部通孔360和导电柱308a之上的相变带370a和顶部电极374a(图14)。图案化相变材料层370和电极层374可以包括诸如光刻和蚀刻的各种工艺。光刻工艺可以包括在电极层374上方形成光刻胶,将抗蚀剂暴露于限定开口的图案,实施曝光后烘焙工艺以及显影抗蚀剂以形成掩蔽元件。然后掩蔽元件或其衍生物用于蚀刻相变材料层370和电极层374。后续去除掩蔽元件(例如,图案化的抗蚀剂)。蚀刻工艺可以包括具有不同的蚀刻化学品的多个蚀刻步骤,其中,每种蚀刻化学品针对电极层374和相变材料层370中的特定材料。蚀刻工艺可以包括一个或多个干蚀刻工艺、湿蚀刻工艺和其他合适的蚀刻技术。蚀刻工艺从外围区304b去除相变材料层370和电极层374。
在操作230处,方法200(图2B)继续进行进一步的处理,以完成PCRAM单元390的制造。例如,如图15所示,方法200可以在器件300上方形成第二介电层380。第二介电层380可以是ILD层或IMD层。在一些实施例中,介电层310和380包括相同的材料(例如,Si3N4),从而使得在它们接触的区域中在介电层310和380之间不存在边界。在一些实施例中,介电层310和380包括不同的材料组成。例如,介电层310包括SiC,并且介电层380包括除SiC之外的诸如氧化硅、硼磷硅酸盐玻璃(BPSG)、正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG)、低k介电材料和/或其他合适的介电材料的材料。可以通过PECVD工艺、可流动CVD(FCVD)工艺或其他合适的沉积技术来沉积第二介电层380。方法200还可以在PCRAM区304a中形成通孔382a和金属线384a,通孔382a和金属线384a电连接至用于金属互连的顶部电极374a。通孔382a和金属线384a可以由Al、Cu、AlCu、W和/或其他合适的导电材料形成。形成通孔382a和金属线384a可以包括双镶嵌工艺。类似地,在外围区304b中,形成通孔382b和金属线384b,并且通孔382b和金属线384b穿过介电层310电连接至导电柱308b。
虽然不旨在限制,但是本发明的一个或多个实施例对包括相变存储器单元的半导体器件及其形成提供许多益处。例如,可以形成具有非常低的宽高比的通孔以用作具有高加热效率的加热元件,这提高了相变存储器单元的写入速度。此外,所公开的形成通孔结构的方法不限于形成相变存储器单元并且可以容易地集成到其中将形成通孔结构的其他现有半导体制造工艺中。
在一个示例性方面中,本发明涉及一种方法。该方法包括提供具有导电柱的衬底,位于导电柱上方的介电层和位于介电层上方的多个牺牲块,从顶视图中围绕导电柱的多个牺牲块;沉积覆盖多个牺牲块的牺牲层,其中,牺牲层具有正位于导电柱之上的凹槽;在牺牲层上方沉积硬掩模层;从凹槽的底部去除硬掩模层的部分;使用硬掩模层作为蚀刻掩模来蚀刻凹槽的底部,从而暴露导电柱的顶面;以及在凹槽内形成导电材料,导电材料与导电柱的顶面物理接触。在实施例中,多个牺牲块中的每个具有圆柱形状。在实施例中,牺牲层包括与多个牺牲块相同的材料组成。在实施例中,牺牲层和多个牺牲块包括不同的材料组成。在一个实施例中,多个牺牲块由四个牺牲块组成。在实施例中,沉积硬掩模层包括实施化学汽相沉积(CVD)工艺。在实施例中,去除硬掩模层的部分包括实施湿蚀刻工艺。在实施例中,在凹槽内形成导电材料包括实施原子层沉积(ALD)工艺。在实施例中,凹槽内的导电材料包括氮化钛。在实施例中,硬掩模层包括与凹槽内的导电材料相同的材料组成。在实施例中,该方法还包括在凹槽内形成导电材料之后实施化学机械平坦化(CMP)工艺以暴露介电层的顶面。在实施例中,在实施CMP工艺之后,凹槽内的导电材料具有小于1.0的宽高比。
在另一示例性方面中,本发明针对一种形成半导体器件的方法。该方法包括提供具有底部电极的半导体衬底;在半导体衬底之上形成化学机械平坦化(CMP)停止层;在CMP停止层之上形成第一牺牲层;图案化第一牺牲层以形成从顶视图中在底部电极周围的多个牺牲块;在多个牺牲块上方沉积第二牺牲层,其中,第二牺牲层具有正位于底部电极之上的凹槽;从凹槽的底部去除第二牺牲层的部分,从而在凹槽的底部处暴露CMP停止层;通过凹槽的底部蚀刻CMP停止层,由此在CMP停止层中形成通孔;以及用导电材料填充通孔,其中,导电材料与底部电极物理接触。在实施例中,从凹槽的底部去除第二牺牲层的部分包括在第二牺牲层上方形成硬掩模层;去除硬掩模层的位于凹槽的底部之上的部分以暴露第二牺牲层的部分;以及使用硬掩模层作为蚀刻掩模来蚀刻第二牺牲层。在实施例中,形成硬掩模层包括在凹槽的底部处沉积具有比在凹槽的侧壁上更小的厚度的硬掩模层。在实施例中,在用导电材料填充通孔之后,然后方法进一步包括实施CMP工艺以去除第二牺牲层和多个牺牲块;在CMP停止层上方形成相变材料层,其中,相变材料层与导电材料物理接触;以及在相变材料层之上形成顶部电极。在实施例中,相变材料层包括GeSbTe、AgInSbTe或氧化铪。
在另一示例性方面中,本发明针对一种半导体器件。该半导体器件包括衬底;位于衬底中的底部电极;位于底部电极之上的介电层;穿过介电层的导电通孔,导电通孔与底部电极物理接触并且具有小于1.0的宽高比;位于导电通孔之上的硫族化物玻璃层;以及位于硫族化物玻璃层之上的顶部电极。在实施例中,导电通孔的宽高比在从约0.2至约1.0的范围内。在实施例中,介电层包括碳化硅并且导电通孔包括氮化钛。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种形成半导体器件的方法,包括:
提供具有导电柱的衬底、位于所述导电柱上方的介电层以及位于所述介电层上方的多个牺牲块,从顶视图中,所述多个牺牲块围绕所述导电柱;
沉积覆盖所述多个牺牲块的牺牲层,所述牺牲层具有正位于所述导电柱之上的凹槽;
在所述牺牲层上方沉积硬掩模层;
从所述凹槽的底部去除所述硬掩模层的部分;
使用所述硬掩模层作为蚀刻掩模来蚀刻所述凹槽的底部,从而暴露所述导电柱的顶面;以及
在所述凹槽内形成导电材料,所述导电材料与所述导电柱的顶面物理接触。
2.根据权利要求1所述的方法,其中,所述多个牺牲块中的每个具有圆柱形状。
3.根据权利要求2所述的方法,其中,所述牺牲层包括与所述多个牺牲块相同的材料组成。
4.根据权利要求2所述的方法,其中,所述牺牲层和所述多个牺牲块包括不同的材料组成。
5.根据权利要求1所述的方法,其中,所述多个牺牲块由四个牺牲块构成。
6.根据权利要求1所述的方法,其中,沉积所述硬掩模层包括实施化学汽相沉积(CVD)工艺。
7.根据权利要求1所述的方法,其中,去除所述硬掩模层的部分包括实施湿蚀刻工艺。
8.根据权利要求1所述的方法,其中,在所述凹槽内形成所述导电材料包括实施原子层沉积(ALD)工艺。
9.根据权利要求1所述的方法,其中,所述凹槽内的所述导电材料包括氮化钛。
10.根据权利要求1所述的方法,其中,所述硬掩模层包括与所述凹槽内的所述导电材料相同的材料组成。
11.根据权利要求1所述的方法,还包括:
在所述凹槽内形成所述导电材料之后,实施化学机械平坦化(CMP)工艺以暴露所述介电层的顶面。
12.根据权利要求11所述的方法,其中,在实施所述化学机械平坦化工艺之后,所述凹槽内的所述导电材料具有小于1.0的宽高比。
13.一种形成半导体器件的方法,包括:
提供具有底部电极的半导体衬底;
在所述半导体衬底之上形成化学机械平坦化(CMP)停止层;
在所述化学机械平坦化停止层之上形成第一牺牲层;
图案化所述第一牺牲层以形成从顶视图中围绕所述底部电极的多个牺牲块;
在所述多个牺牲块上方沉积第二牺牲层,其中,所述第二牺牲层具有正位于所述底部电极之上的凹槽;
从所述凹槽的底部去除所述第二牺牲层的部分,从而在所述凹槽的底部处暴露所述化学机械平坦化停止层;
通过所述凹槽的底部蚀刻所述化学机械平坦化停止层,从而在所述化学机械平坦化停止层中形成通孔;以及
用导电材料填充所述通孔,其中,所述导电材料与所述底部电极物理接触。
14.根据权利要求13所述的方法,其中,从所述凹槽的底部去除所述第二牺牲层的部分包括:
在所述第二牺牲层上方形成硬掩模层;
去除所述硬掩模层的位于所述凹槽的底部之上的部分以暴露所述第二牺牲层的部分;以及
使用所述硬掩模层作为蚀刻掩模来蚀刻所述第二牺牲层。
15.根据权利要求14所述的方法,其中,形成所述硬掩模层包括在所述凹槽的底部处沉积具有比在所述凹槽的侧壁上更小的厚度的所述硬掩模层。
16.根据权利要求13所述的方法,在用所述导电材料填充所述通孔之后,还包括:
实施化学机械平坦化工艺以去除所述第二牺牲层和所述多个牺牲块;
在所述化学机械平坦化停止层上方形成相变材料层,其中,所述相变材料层与所述导电材料物理接触;以及
在所述相变材料层之上形成顶部电极。
17.根据权利要求16所述的方法,其中,所述相变材料层包括GeSbTe、AgInSbTe或氧化铪。
18.一种半导体器件,包括:
衬底;
底部电极,位于所述衬底中;
介电层,位于所述底部电极之上;
导电通孔,穿过所述介电层并且所述导电通孔的侧壁与所述介电层直接接触,所述导电通孔与所述底部电极物理接触并且具有小于1.0的宽高比,所述导电通孔的侧壁周围没有光刻胶浮渣;
硫族化物玻璃层,位于所述导电通孔上之上;以及
顶部电极,位于所述硫族化物玻璃层之上。
19.根据权利要求18所述的半导体器件,其中,所述导电通孔的宽高比在从0.2至1.0的范围内。
20.根据权利要求18所述的半导体器件,其中,所述介电层包括碳化硅,并且所述导电通孔包括氮化钛。
CN201810450493.7A 2017-11-09 2018-05-11 通孔结构及其形成方法 Active CN109768011B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762583866P 2017-11-09 2017-11-09
US62/583,866 2017-11-09
US15/884,505 2018-01-31
US15/884,505 US10439135B2 (en) 2017-11-09 2018-01-31 VIA structure and methods of forming the same

Publications (2)

Publication Number Publication Date
CN109768011A CN109768011A (zh) 2019-05-17
CN109768011B true CN109768011B (zh) 2021-07-23

Family

ID=66328947

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810450493.7A Active CN109768011B (zh) 2017-11-09 2018-05-11 通孔结构及其形成方法

Country Status (4)

Country Link
US (3) US10439135B2 (zh)
KR (1) KR102112642B1 (zh)
CN (1) CN109768011B (zh)
TW (1) TWI691021B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
DE102018107038B4 (de) * 2017-11-09 2022-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer durchkontaktierungsstruktur
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10559493B1 (en) * 2018-09-10 2020-02-11 International Business Machines Corporation Multifunction single via patterning
US10903418B2 (en) * 2018-11-19 2021-01-26 International Business Machines Corporation Low resistance electrode for high aspect ratio confined PCM cell in BEOL
US11424406B2 (en) * 2020-02-11 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Generating self-aligned heater for PCRAM using filaments
CN113380641A (zh) * 2020-02-25 2021-09-10 典琦科技股份有限公司 晶粒封装结构的制造方法
US11437389B2 (en) * 2020-03-06 2022-09-06 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11605779B2 (en) * 2021-01-07 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor die
US11903334B2 (en) * 2021-04-15 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory devices and methods of forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473597A (zh) * 2009-08-28 2012-05-23 国际商业机器公司 用于完全非晶相变存储器孔隙单元的化学机械抛光停止层

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000011859A (ja) 1998-06-22 2000-01-14 Yamaha Corp 電界放射型素子の製造方法
US7223693B2 (en) * 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US7265050B2 (en) * 2003-12-12 2007-09-04 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers
US7291556B2 (en) * 2003-12-12 2007-11-06 Samsung Electronics Co., Ltd. Method for forming small features in microelectronic devices using sacrificial layers
KR100827653B1 (ko) * 2004-12-06 2008-05-07 삼성전자주식회사 상변화 기억 셀들 및 그 제조방법들
JP2007014990A (ja) 2005-07-07 2007-01-25 Aisin Seiki Co Ltd レーザ加工方法及びレーザ加工装置
KR100675289B1 (ko) * 2005-11-14 2007-01-29 삼성전자주식회사 상변화 기억 셀 어레이 영역 및 그 제조방법들
JP4860249B2 (ja) 2005-11-26 2012-01-25 エルピーダメモリ株式会社 相変化メモリ装置および相変化メモリ装置の製造方法
US7741636B2 (en) * 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7820997B2 (en) 2006-05-30 2010-10-26 Macronix International Co., Ltd. Resistor random access memory cell with reduced active area and reduced contact areas
US8178386B2 (en) * 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8623734B2 (en) * 2011-06-01 2014-01-07 International Business Machines Corporation Method to selectively grow phase change material inside a via hole
KR20130012385A (ko) * 2011-07-25 2013-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN103165429B (zh) 2011-12-15 2015-11-25 中芯国际集成电路制造(上海)有限公司 金属栅极形成方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102105196B1 (ko) * 2013-07-25 2020-04-29 에스케이하이닉스 주식회사 반도체 소자 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9660188B2 (en) * 2014-08-28 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Phase change memory structure to reduce leakage from the heating element to the surrounding material
US9564585B1 (en) 2015-09-03 2017-02-07 HGST Netherlands B.V. Multi-level phase change device
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
JP6863341B2 (ja) 2018-06-28 2021-04-21 横河電機株式会社 フィールド機器、フィールド機器の診断方法および診断装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473597A (zh) * 2009-08-28 2012-05-23 国际商业机器公司 用于完全非晶相变存储器孔隙单元的化学机械抛光停止层

Also Published As

Publication number Publication date
US20210257548A1 (en) 2021-08-19
KR20190053078A (ko) 2019-05-17
KR102112642B1 (ko) 2020-05-19
TWI691021B (zh) 2020-04-11
US11489115B2 (en) 2022-11-01
US10439135B2 (en) 2019-10-08
CN109768011A (zh) 2019-05-17
US20190140173A1 (en) 2019-05-09
US20200035918A1 (en) 2020-01-30
US10998498B2 (en) 2021-05-04
TW201919148A (zh) 2019-05-16

Similar Documents

Publication Publication Date Title
CN109768011B (zh) 通孔结构及其形成方法
US10854542B2 (en) Via structure and methods thereof
CN109860117B (zh) 用于减小晶体管间隔的切割金属栅极工艺
KR102102735B1 (ko) 다중 패터닝 방법
US10811506B2 (en) Self-aligned metal gate etch back process and device
JP7194813B2 (ja) 三次元メモリデバイス、三次元メモリデバイスを作製するための方法及びメモリセルストリング
US11462583B2 (en) Embedding magneto-resistive random-access memory devices between metal levels
CN110556300A (zh) 形成半导体装置的方法
US20200105910A1 (en) High Aspect Ratio Gate Structure Formation
CN115274616A (zh) 通孔结构及其方法
CN102522370B (zh) 接触孔的形成方法
CN107204279B (zh) 形成半导体器件的方法
US20230059026A1 (en) Via Structure And Methods Of Forming The Same
US11817389B2 (en) Multi-metal interconnects for semiconductor device structures
US20230275018A1 (en) Metal lines of hybrid heights
TW202213723A (zh) 記憶體元件
CN114927612A (zh) 存储单元、其制造方法、及具有存储单元的半导体器件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant