CN109643673A - 用于原子层蚀刻(ale)的终点检测算法 - Google Patents

用于原子层蚀刻(ale)的终点检测算法 Download PDF

Info

Publication number
CN109643673A
CN109643673A CN201780053849.7A CN201780053849A CN109643673A CN 109643673 A CN109643673 A CN 109643673A CN 201780053849 A CN201780053849 A CN 201780053849A CN 109643673 A CN109643673 A CN 109643673A
Authority
CN
China
Prior art keywords
oes
data
stationary part
plasma
optical emission
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780053849.7A
Other languages
English (en)
Other versions
CN109643673B (zh
Inventor
陈艳
田新康
贾森·弗恩斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN109643673A publication Critical patent/CN109643673A/zh
Application granted granted Critical
Publication of CN109643673B publication Critical patent/CN109643673B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Polarising Elements (AREA)

Abstract

本文描述的是用于确定从等离子体处理系统获取的光发射光谱(OES)数据的终点的架构、平台和方法。所述OES数据例如包括吸附步骤过程、解吸步骤过程或其组合。在该示例中,所述OES数据在终点确定之前经受信号同步和瞬态信号滤波,这可以通过应用移动平均滤波器来实现。

Description

用于原子层蚀刻(ALE)的终点检测算法
背景技术
原子层蚀刻(ALE)是一种等离子体蚀刻技术,该等离子体蚀刻技术能够以原子级精度进行逐层蚀刻。ALE实施一种使用多步循环移除薄层材料的技术,所述多步循环例如在吸附反应与解吸反应之间进行交替。ALE是连续蚀刻的替代方案,并且被视为原子层沉积的基本对应技术。
终点检测(EPD)用于通过检测正在蚀刻的材料已被清除到下面层,来停止或改变等离子体蚀刻过程。依据正在蚀刻的材料的类型和蚀刻过程参数,在蚀刻过程的终点处等离子体的光学发射光谱的变化可能很明显且相对易于检测,或相反,不明显且相对难以检测。例如,在使用用于处理光学发射光谱(OES)数据的当前算法时,以低开孔率蚀刻材料可能使终点检测变得困难。因此,需要作出改进,以使基于光学发射光谱(OES)数据的蚀刻终点检测在此类具有挑战性的蚀刻过程条件下更加稳健。
相关申请
本申请是基于2016年9月2日提交的标题为“ENDPOINT DETECTION ALGORITHM FORATOMIC LAYER ETCHING”的共同未决的美国临时专利申请号62/382,904并要求其优先权,该专利申请的内容全部都并入本文中。本申请涉及2016年2月25日提交的标题为“METHODOF ENDPOINT DETECTION OF PLASMA ETCHING PROCESS USING MULTIVARIATE ANALYSIS”(参考号TTI-240US2-CON)的共同未决的美国非临时专利申请号15/053,368,该非临时专利申请是2013年10月17日提交的标题为“METHOD OF ENDPOINT DETECTION OF PLASMAETCHING PROCESS USING MULTIVARIATE ANALYSIS”(参考号TTI-240)的美国非临时专利申请号14/056,059(现为美国专利号9,330,990)的继续申请,美国非临时专利申请号14/056,059是基于2012年10月17日提交的标题为“METHOD OF ENDPOINT DETECTION OF PLASMAETCHING PROCESS USING MULTIVARIATE ANALYSIS”(参考号TTI-240PROV)的美国临时专利申请号61/715,047并要求其优先权,所有这些申请全文都以引用方式并入本文中。
附图说明
参考附图描述具体实施方式。在附图中,附图标记最左侧的一个或多个数字标识了首次出现该附图标记的附图。在全部附图中使用相同的标记来指代相同的特征和部件。
图1是示例场景,展示了在如本文的实现方式中所述的蚀刻过程期间的终点检测的概览。
图2是如本文的实现方式中所述的光学发射光谱(OES)模块的示例性示意框图。
图3是由如本文的实现方式中所述的OES模块处理的输入信号的示例图形表示。
图4是示出等离子体蚀刻处理系统中的多过程步骤的示例终点检测的示例过程流程图。
图5是示出如本文所述的示例终点检测的示例过程流程图。
具体实施方式
本文描述的是用于对多过程步骤等离子体蚀刻处理系统中的过程步骤或过程步骤组合进行终点检测的架构、平台和方法。多过程步骤处理系统例如是原子层蚀刻(ALE)过程,且该ALE过程可以由来自等离子体蚀刻处理系统的连续信号曲线(作为检测到的或导出的光学发射光谱(OES)数据)表示。在该示例中,连续信号曲线(即,检测到的OES数据)可以包括瞬态信号(例如,过程步骤之间的尖峰或噪声),且因此,连续信号曲线可以在执行如本文所述的终点检测之前被进一步同步、滤波和平均化。
在一种实现方式中,可以进一步描绘和/或识别经同步、滤波和平均化的连续信号曲线,以提供:第一静止部分,该第一静止部分可以表示例如多过程步骤的吸附步骤过程的平均值;和/或第二静止部分,该第二静止部分可以表示例如多过程步骤的解吸步骤过程的平均值。在该实现方式中,终点检测过程可以仅基于第一静止部分、仅基于第二静止部分,或基于第一静止部分和第二静止部分的平均值。在其他实现方式中,在瞬态/噪声的值可忽略不计的情况下,终点检测可以直接利用检测到的连续信号曲线。也就是说,检测到的同步连续信号曲线或OES数据具有可忽略不计的瞬态信号。
如本文所述,第一静止部分可以表示连续信号曲线或OES数据的经滤波的上包络线(即,吸附步骤过程)的平均值。另一方面,第二静止部分可以表示连续信号曲线或OES数据的经滤波的下包络线(即,解吸步骤过程)的平均值。
经滤波的上包络线和经滤波的下包络线指示移除可以分别存在于OES数据的上包络线和下包络线处的瞬态信号。
在一个实施方案中,OES数据由传感器从蚀刻过程块检测并获取,且特别地,从等离子体蚀刻处理系统的等离子体处理室检测并获取。传感器的检测和获取例如关于步骤过程(例如,吸附或解吸步骤过程)的启动是同步的,和/或与等离子体处理室上的多过程步骤的循环切换(例如,在吸附步骤过程与解吸步骤过程之间切换)同步。在该示例中,可以通过硬件、软件或这两者的组合来实现同步。
利用同步的OES数据,随后可以执行平滑化或滤波、平均化以及终点检测。特别地,可以通过排除例如存在瞬态信号的所识别的一个或多个时段或者一个或多个信号部分来对瞬态信号进行滤波。在对瞬态信号进行滤波之后,可以通过使用诸如移动平均滤波器的滤波器来实现平均化,以产生第一静止部分、第二静止部分,或第一静止部分和第二静止部分这两者的平均值。
然后,基于第一静止部分、第二静止部分或第一静止部分和第二静止部分这两者的平均值,可以使用诸如参考申请(即,美国申请61/715,047、14/056,059和15/053,368)中所述的融合(Fusion)算法的主成分分析(PCA)或通过使用其他领域中的非PCA方法来确定终点检测。
图1是示例场景100,展示了在如本文的实施方案中所述的蚀刻过程期间的终点检测的概览。场景100可以是等离子体处理系统,或者利用循环重复的多步骤等离子体过程(诸如ALE过程)的任何其他类型的蚀刻系统。
如图所示,OES模块102可以通过有线或无线连接108连接到蚀刻过程块104。蚀刻104在本文中简化为执行等离子体蚀刻或作为基于过程块等离子体的过程的任何其他过程的框机制。此外,蚀刻过程块104可以包括传感器106,该传感器在蚀刻过程块104中的蚀刻过程操作期间检测并获取例如OES数据(或连续信号曲线)。在该示例中,传感器106将获取的数据发送到OES模块102,以进行进一步处理。
如本文所述,传感器106对OES数据的获取可以与蚀刻过程块104所执行的蚀刻过程同步。原因在于,终点检测获知过程步骤(诸如吸附步骤过程)转换或切换到另一个过程步骤(诸如解吸步骤过程)时(反之亦然)的特定时间或时段。就这一点而言,终点检测以如下两种方式获知特定时间或时段:第一,通过硬件部件直接接收OES数据;以及第二,通过使用被配置成识别瞬态信号的软件,对瞬态信号进行滤波且提供平均化的第一静止部分和第二静止部分,如下文进一步讨论。
继续参考图1,OES模块102进行的处理例如可以包括通过收发器部件(未示出)接收所获取的OES数据、对接收的OES数据进行同步、对所接收的OES数据中可能存在的瞬态信号进行滤波或切断这些瞬态信号、确定循环重复的多步骤等离子体过程中的每个过程步骤的平均值(例如,使用移动平均滤波器),以及应用算法(例如,融合算法)来确定经平滑化的OES数据的终点。在该示例中,在不脱离本发明的范围的情况下,OES模块102可以按任何合适的硬件、软件、固件或其组合来实现。此外,OES模块102可以直接耦合或集成到例如等离子体处理系统(诸如蚀刻过程块104)的等离子体处理室。
蚀刻过程块104可以利用包括例如ALE过程的基于等离子体的处理系统。ALE过程可以包括多步骤过程(即,由连续信号曲线表示),该多步骤过程可以进一步被描绘成循环重复的吸附步骤(即,该连续信号曲线的上包络线)以及循环重复的解吸步骤(即,该连续信号曲线的下包络线)。在该示例中,连接到蚀刻过程块104的传感器106可以检测并获取来自等离子体处理系统(由过程块104实现)的等离子体处理室的多步骤过程数据(即,OES数据)。利用所获取的OES数据,传感器106可以通过有线或无线连接108将所获取的OES数据发送到OES模块102。
OES模块102可以包括收发器(未示出),以通过传感器106接收所获取的OES数据。在一种实现方式中,OES模块102可以被配置成促进获取OES数据过程中的同步。例如,传感器106与蚀刻过程块104的操作同步,以便使OES数据取样相对于蚀刻过程块104上的循环多步骤等离子体过程的过程步骤的循环切换同步。
此外,OES模块102可以被配置成将OES数据的等离子体步骤过程同步、促进对经同步的OES数据(或者可以称为ALE过程信号)上的瞬态信号的滤波、促进对经滤波的OES数据的平均化,且最后促进确定经平滑化的ALE过程信号或OES数据的终点。
如本文所述,对OES数据的同步、滤波等可以称为在分析或确定终点之前对数据的平滑化。此外,终点检测可以利用交叉引用的相关申请中所述的方法。特别地,可以基于多步骤等离子体过程中的每个步骤过程(即,第一静止部分或第二静止部分),或者基于多步骤等离子体过程的至少两个步骤过程的平均值(即,第一静止部分和第二静止部分的平均值)来应用融合算法,如下文进一步讨论。
此外,OES模块102可以被配置成基于所处理的OES数据输出控制参数。例如,输出控制参数可以由蚀刻过程块104用于在等离子体处理系统操作期间进行参数调整。例如,传感器106对OES数据的取样可能需要相对于循环多步骤等离子体过程的过程步骤的循环切换同步。换句话讲,传感器106可以接收输出控制参数,用于相对于循环多步骤等离子体过程的过程步骤的循环切换来调整OES数据获取。
在获取OES数据时,传感器106可以包括例如测量蚀刻过程块104中的等离子体处理系统的光谱(即,OES数据)的光谱仪。在该示例中,光谱可以包括作为波长或频率的函数的光强度。传感器106可以不限于光谱仪,因为可以利用其他光检测器来测量等离子体处理系统的等离子体处理室处的光强度。
尽管本文所述的实施方案将等离子体处理系统称为要进行同步、滤波等的数据源,但可以在蚀刻过程块104中使用其他蚀刻处理系统,并且可以按顺序应用如本文所述的相同处理,以便在确定终点之前使信号更加稳健。
图2是如本文的当前实现方式中所述的OES模块102的示例性示意框图。如图所示,OES模块102可以包括收发器200、信号同步器202、滤波器204、存储器206和处理器208。OES模块102还示出了输入信号210和输出信号212。尽管OES模块102正在由诸如信号同步器202、滤波器204和存储器206等硬件部件实现,但是处理器208可以被配置成实现软件算法,以执行这些硬件部件的功能。
例如,该软件算法可以执行:对传感器106检测和获取信号(即,获取连续信号曲线或OES数据)的同步,以及对OES数据的多过程步骤(例如,吸附和解吸步骤过程)的同步。此外,该软件算法可以执行:对OES数据的瞬态信号进行检测、识别和滤波;确定并平均化经滤波的OES数据,以产生经滤波的OES数据的第一静止部分(例如,吸附步骤过程);以及确定经滤波的数据并加以平均,以产生经滤波的OES数据的第二静止部分(例如,解吸步骤过程)。
此外,该软件算法可以基于以下各项来执行终点检测:吸附步骤过程的平均值(即,第一静止部分),或解吸步骤过程的平均值(即,第二静止部分),或组合的吸附步骤过程平均值和解吸步骤过程平均值的平均值(即,组合的第一静止部分和第二静止部分的平均值)。另外,该软件算法可以在没有瞬态信号(即,瞬态信号可忽略不计)的情况下,基于检测到的连续信号曲线或OES数据的原始平均值来执行终点检测。在该实实现方式中,原始平均值指示不排除所获取的OES数据中存在的可忽略不计的瞬态信号,或不对这些可忽略不计的瞬态信号进行滤波。
继续参考图2,收发器200可以包括电路系统、软件或其组合,其从传感器106接收输入信号210,诸如连续信号曲线或OES数据(在等离子体处理系统期间)。收发器200的接收和发送可以在特定的预定时间段内执行,或者可以按照可以配置的连续方式执行。收发器200还可以被配置成发送输出信号212(例如,输出控制参数),以同步和控制传感器106对OES数据进行的信号获取,并进一步同步和控制蚀刻过程块104的操作,诸如切换等离子体处理条件的方式或定时。例如,传感器106对OES数据的检测和获取与蚀刻过程块104循环重复的至少两个过程步骤同步。在该示例中,输出信号212可以促进必要的控制参数以将传感器106的操作与蚀刻过程块104同步。
作为前述示例中的硬件部件的替代方案,软件算法可以执行OES数据的同步。例如,处理器208可以实现识别连续信号曲线的多个部分的软件算法,这些部分可以表示:吸附步骤过程;解吸步骤过程;瞬态信号部分;以及关于OES数据的条件的其他变化。
在一种实现方式中,要被同步、滤波和平均化的输入信号210可以为终点检测提供不同的方面。例如,这些方面包括单独的吸附步骤的平均值(即,第一静止部分)、单独的解吸步骤的平均值(即,第二时间相依光学信号部分)、特定时间段内的吸附步骤与解吸步骤的组合、第一时间段内的吸附步骤和第二不同时间段内的解吸步骤的组合,以及不同的其他组合。在该示例中,软件算法可以基于输入信号210的特定方面来执行终点检测。此外,可以比较每个方面的终点检测,以导出信号210的最佳可能方面,以便为了终点检测的目的而进行处理。
例如,OES模块102被配置成基于第一静止部分(即,吸附步骤过程)确定等离子体处理系统的终点。在该示例中,传感器106可以被配置成获取OES数据,且特别地,获取关于循环重复的吸附步骤过程的OES数据。也就是说,传感器106可以被配置成检测循环时间的增加或减少、某个时间段内的瞬态信号、等离子体氯化反应的吸附速率常数的增加、操作温度等。这些参数(即,操作温度、循环时间的增加或减少等)可以由吸附强度信号表示,并且由收发器200作为输入信号210接收,以供信号同步器202、一个或多个滤波器204和处理器208进一步处理,从而用于终点确定。
在另一个示例中,OES模块102OES模块102被配置成基于循环重复的多步骤过程的组合(诸如时间相依吸附步骤信号和解吸步骤信号的组合)来确定等离子体处理系统的终点。在该示例中,传感器106可以被配置成获取与循环重复的吸附步骤过程和解吸步骤过程有关的OES数据。也就是说,传感器106可以被配置成检测:循环吸附步骤过程和解吸步骤过程的相应循环时间的增加或减少;由于等离子体过程气体以及与循环重复的多步骤等离子体过程中的过程步骤的切换相关联的其他等离子体处理条件的切换而在某个时间段内产生的瞬态信号;等离子体氯化反应的吸附速率常数和/或解吸速率常数的增加;温度;等等。
在前述示例中,检测到的参数(即,温度、循环时间的增加或减少等)可以由单条连续信号曲线或OES数据表示。在一个实施方案中,硬件部件(即,信号同步器、滤波器等)或软件算法或其组合可以描绘和计算吸附步骤过程的平均值(即,第一静止部分),以及单条连续信号曲线或OES数据的解吸步骤过程的平均值(即,第二静止部分)。
如本文所述,信号同步器202可以被配置成通过将输出信号212发送到蚀刻过程块104和/或传感器106来实现同步。例如,至少两个循环重复的过程步骤的同步可以包括调整它们各自的循环时间、调整它们各自的采样频率间隔、操作温度、增大或减小等离子体氯化反应的吸附速率常数和/或解吸速率常数,等等。在该示例中,同步可以建立特定的参考点或序列,以便在由滤波器204处理之前协调或同步输入信号210。
在另一个示例中,信号同步器202可以促进OES数据的同步获取和等离子体处理条件的切换,以便OES信号采样以相对于循环切换这些循环多步骤等离子体过程的过程步骤(即,吸附步骤过程到解吸步骤过程,反之亦然)的精确定时发生。
在信号同步器202可能由于输入信号210中的错误而不能实现至少两个循环重复的过程步骤的同步的情况下,处理器208可以被配置成便于通过将必要的输出信号参数发送到传感器106来校正输入信号210中的错误。
对于单个循环重复的步骤过程(即,吸附步骤过程或解吸步骤过程),可以不执行(即,绕过)信号同步,并且单个循环重复的步骤过程(即,输入信号210)在通过应用融合算法或主成分分析(PCA)或任何其他类型的非PCA方法执行终点检测之前可以经历瞬态信号滤波。
如本文所述,关于单个循环重复过程的独立终点确定,采用了交叉引用的相关申请中的融合算法。此外,融合算法还可以用于对所接收的OES数据的多步骤过程进行终点确定。
在从信号同步器202的输出端获得经同步的至少两个循环重复的过程步骤之后,一个或多个滤波器204可以被配置成切断在等离子体系统从吸附步骤过程循环切换到解吸步骤过程(反之亦然)期间可能发生的瞬态信号。
例如,可以检测到瞬态信号发生在每个采样间隔的开始时或者所接收的OES数据的光谱内的每个时间段之后。在另一个示例中,当吸附或解吸强度光信号高于预定阈值时,可以检测到瞬态信号。在这些示例中,滤波器204可以被配置成在每个采样间隔开始时移除瞬态信号,或者在使用预定阈值的情况下,当检测到的瞬态信号高于该阈值时移除瞬态信号。在这种情况下,阈值定义了瞬态信号的存在。
随着瞬态信号被移除或最小化,经滤波的吸附强度光信号可以进一步经历另一个滤波过程,如下文进一步讨论。类似地,关于解吸强度光信号,可以应用与前述所讨论的(例如,使用阈值)相同的过程来切断来自解吸强度光信号的瞬态信号。
在从吸附强度光信号和/或解吸强度光信号中移除瞬态信号之后,滤波器204可以被配置成执行另一种算法或应用滤波器响应函数(例如,移动平均滤波器),以对经滤波的OES数据进行平均化。在这种情况下,移动平均滤波器可以通过用在特定跨度内定义的相邻数据点的平均值替换每个数据点来使数据平滑化。此外,移动平均滤波器可以产生:第一静止部分、第二静止部分,或者第一静止部分和第二静止部分的平均值,如下面的图3进一步讨论。
在对吸附强度光信号和/或解吸强度光信号进行平滑化和平均化之后,通过融合算法检测终点。本文采用了交叉引用的相关申请中可能适用的终点确定法。
一个或多个处理器208可以是单个处理单元控制器或多个处理单元控制器,所有这些都可以包括单个或多个计算单元或者多个核心。一个或多个处理器208可以实现为一个或多个微处理器、微计算机、微控制器、数字信号处理器、中央处理单元、状态机、逻辑电路系统,和/或可以基于操作指令操纵循环多步骤过程信号的任何装置。除了别的能力以外,一个或多个处理器208可以被配置成提取并执行存储在存储器206或其他计算机可读存储介质中的计算机可读指令或处理器可访问指令。例如,一个或多个处理器208可以被配置成确定吸附步骤过程、解吸步骤过程的终点,或者基于这两个过程的组合或平均值进行终点确定。
存储器206是用于存储指令的非法定计算机可读存储介质的示例,这些指令由一个或多个处理器208执行以执行本文所述的各种功能。例如,存储器206通常可以包括易失性存储器和非易失性存储器(例如,RAM、ROM等)这两者。存储器206在本文中可以称为存储器或计算机可读存储介质。存储器206能够将计算机可读、处理器可执行的程序指令存储为计算机程序代码,该计算机程序代码可以由一个或多个处理器208执行,所述处理器作为被配置用于执行本文的实现方式中所述的操作和功能的特定机器。
存储器206还可以存储如本文所述用于终点确定的一个或多个应用程序(未示出)。这些应用程序可以包括预配置/已安装和可下载的应用程序。另外,存储器206可以存储OES数据,该OES数据经受处理,以便如本文所述进行终点确定。例如,存储器206存储信号同步器202、一个或多个滤波器204等的输出。
图3是如本文所述由OES模块收集并处理的OES数据300的示例图形表示。OES数据300可以包括单条连续信号曲线302,该曲线在某个时间段或周期内具有不同的光强度量。光强度量由竖直“Y”轴在该时间段内示出,该时间段可以由水平“X”轴表示。此外,单条连续信号曲线302的上包络线和下包络线可以分别表示循环多步骤过程,诸如吸附步骤过程和解吸步骤过程。
单条连续信号曲线302还包括可以存在于上包络线处的瞬态信号304-2、304-4、……304-n,该上包络线表示单条连续信号曲线302的吸附步骤过程。类似地,瞬态信号306-2、306-4、……306-n可以存在于下包络线处,该下包络线表示单条连续信号曲线302的解吸步骤过程。这些瞬态信号304和306可以表示在多步骤等离子体过程的循环切换期间或在循环中的特定持续时间内可能周期性出现的噪声、尖峰或不必要的信号。
在一个实施方案中,滤波器204可以被配置成在由滤波器204平均化(例如,应用移动平均滤波器)之前切断或移除瞬态信号304和306,以使单条连续信号曲线302平滑化。例如,处理器208可以检测在从每个循环切换步骤过程的起点开始的特定时间段内出现的瞬态信号304和306。在该示例中,滤波器204可以切断单条连续信号曲线302的落入该循环中的特定时间段内的多个部分。在另一个示例中,处理器208可以使用预定阈值来检测瞬态信号304和306的存在。在该另一个示例中,滤波器204可以切断单条连续信号曲线302的高于预定阈值的多个部分,该预定阈值指示瞬态信号的存在。
在移除瞬态信号304和306之后,滤波器204可以应用例如移动平均滤波器来计算单条连续信号曲线302的剩余上包络线和剩余下包络线的平均值。如图3所示,表示吸附步骤过程的剩余上包络线的平均值可以称为第一静止部分308,而表示解吸步骤过程的剩余下包络线的平均值可以称为第二静止部分310。
在一个实施方案中,第一静止部分308包括在移除瞬态信号304之后吸附步骤过程的信号部分的平均值。类似地,第二静止部分310包括在移除瞬态信号306之后解吸步骤过程的信号部分的平均值。此外,图3示出了平均信号312,该平均信号可以表示组合的第一静止部分308和第二静止部分310的计算平均值。
在另一个实现方式中,在瞬态信号304和306的值可忽略不计的情况下,可以直接计算第一静止部分308和第二静止部分310而无需对瞬态信号304和306进行滤波。在该另一个实现方式中,平均信号312可以直接基于所接收的单条连续信号曲线302。
参见上图2中的OES模块102,OES模块102可以基于以下各项执行终点检测:第一静止部分308、第二静止部分310和/或平均信号312。参考终点检测,交叉引用的相关申请中的融合算法可以用于确定OES数据300的终点。
图4示出了用于对等离子体蚀刻处理系统中的循环重复的多过程步骤进行终点检测的示例过程400。描述该方法的顺序并不旨在被解释为具有限制性,并且任意数目的所述方法框可以按任意顺序进行组合来实施该方法或另选的方法。另外,在不脱离本文所述主题的精神和范围的情况下,可以从该方法删除个别的框。此外,在不脱离本发明的范围的情况下,该方法可以按任何合适的硬件、软件、固件或其组合来实现。
在框402处,执行对数据的检测和获取。例如,对于等离子体处理系统,光检测装置(即,传感器106)可以用于从一组一次或多次等离子体蚀刻过程运行中获取光学发射光谱(OES)数据(例如,OES数据300)。如在交叉引用的申请中进一步讨论,在每次蚀刻等离子体蚀刻过程运行期间可以获取n次光谱,其中n是大于1的整数。连续OES数据获取(即,光谱获取)之间的采样间隔可以从0.01秒变化为1.0秒。每个所获取的OES数据集(即光谱)可以包括对应于CCD(电荷耦合器件)光检测器件的m个像素的m个测得的光强度,并且m个像素的每个像素可以对应于衍射光栅投射在该像素上的特定光波长,该衍射光栅典型地用作传感器106中的光色散装置。
在前述示例中,所获取的OES数据300可以由OES模块102通过收发器200接收。
在框404处,确定OES数据是否包括多步骤过程。
如果框404处的条件是单步骤过程,即单独的吸附步骤过程或单独的解吸步骤过程,则在框406的“否”分支之后,移除吸附或解吸步骤过程的瞬态信号可以在框408处应用例如移动平均滤波器之前应用。在框408处对信号进行平均化产生了第一静止部分308、第二静止部分310和/或平均信号312,该平均信号是组合的第一静止部分和第二静止部分的平均值。
在框410处,执行对终点的检测。例如,确定终点可以基于第一静止部分308、第二静止部分310和/或平均信号312。
如果框404处的条件是多步骤过程,则在框412的“是”分支之后,可以由信号同步器202执行对所接收的OES数据(例如,OES数据300)的同步。
在框414处,执行对瞬态信号的移除。例如,滤波器204切断单条连续信号曲线302的检测到的瞬态信号304和306。
在框414处,执行对多步骤过程的终点的检测。例如,可以利用如交叉引用的申请中所述的融合算法来检测终点。在另一个示例中,也可以利用其他现有技术的终点检测。在这些示例中,终点检测基于:第一静止部分308、第二静止部分310和/或平均信号312,该平均信号是组合的第一静止部分和第二静止部分的平均值。
已经在特定实施方案的上下文中描述了根据本发明的实现方式。这些实施方案旨在为说明性的而非限制性的。可以进行许多变化、修改、添加和改进。因此,可以为本文中被描述为单数实例的部件提供复数个实例。各种部件、操作和数据存储库之间的边界在某种程度上是任意的,并且特定的操作在具体的说明性配置的上下文中示出。设想了其他的功能性分配,这些功能性分配可以属于随附的权利要求的范围内。最后,以各种配置呈现为分立部件的结构和功能性可以被实现为组合式结构或部件。这些和其他的变化、修改、添加和改进可以属于如下面的权利要求所限定的本发明范围内。
图5示出了用于对如本文所述的等离子体蚀刻处理系统中的循环重复的多过程步骤进行终点检测的示例过程500。下面的示例终点检测基于交叉引用的申请,因此,读者可以查看交叉引用的申请来进一步讨论和解释框502至514。此外,终点检测可以应用于第一静止部分308、第二静止部分310,或第一静止部分和第二静止部分的平均值(即,平均信号312)。此外,本领域中的任何其他方法都可以应用于检测终点,如本文所述。描述该方法的顺序并不旨在被解释为具有限制性,并且任意数目的所述方法框可以按任意顺序组合来实施该方法或另选的方法。另外,在不脱离本文所述主题的精神和范围的情况下,可以从该方法删除个别的框。此外,在不脱离本发明的范围的情况下,该方法可以按任何合适的硬件、软件、固件或其组合来实现。
在框502处,提供了先前计算和存储的平均光学发射光谱(OES)数据矩阵[Savg]。
在框504处,提供了先前计算并存储的主成分权重向量[P]。
在框506处,执行在循环多步骤等离子体过程期间以预定的时间间隔由过程步骤形成光学发射光谱(OES)数据集。
在框506处,从每个光学发射光谱(OES)数据集中减去先前提供的平均光学发射光谱(OES)数据矩阵[Savg],以便对每个光学发射光谱(OES)数据集进行去均值。
在框508处,通过以下方式将每个去均值并非归一化的光学发射光谱(OES)数据集变换成经变换的光学发射光谱(OES)数据:使用所提供的主成分权重向量[P]计算经变换的光学发射光谱(OES)数据向量[T]的至少一个元素。
在框510处,根据经变换的光学发射光谱(OES)数据向量[T]的所计算的至少一个元素,进一步计算趋势变量f(Ti)。
在框512处,在循环多步骤等离子体过程期间,根据趋势变量f(Ti)的计算值执行对循环多步骤等离子体过程的第一终点的检测。
已经在特定实施方案的上下文中描述了根据本发明的实现方式。这些实施方案旨在为说明性的而非限制性的。可以进行许多变化、修改、添加和改进。因此,可以为本文中被描述为单数实例的部件提供复数个实例。各种部件、操作和数据存储库之间的边界在某种程度上是任意的,并且特定的操作在具体的说明性配置的上下文中示出。设想了其他的功能性分配,并且这些功能性分配可以属于随附的权利要求的范围内。最后,以各种配置呈现为分立部件的结构和功能性可以被实现为组合式结构或部件。这些和其他的变化、修改、添加和改进可以属于如随附的权利要求所限定的本发明范围内。

Claims (25)

1.一种用于确定等离子体处理系统中的过程终点数据的方法,其包括:
接收来自所述等离子体处理系统的对基板执行多步骤等离子体过程的等离子体处理室的光学发射光谱(OES)数据,其中循环多步骤等离子体过程包括循环重复的至少两个过程步骤;
确定所述所接收的OES数据的第一静止部分,所述第一静止部分是所述多步骤等离子体过程的经滤波的过程步骤的平均值;以及
确定所确定的第一静止部分的第一终点。
2.根据权利要求1所述的方法,其中多步骤过程是原子层蚀刻(ALE)过程。
3.根据权利要求1所述的方法,其中所述至少两个过程步骤包括吸附步骤和解吸步骤,其中所述经滤波的过程步骤包括瞬态信号-经滤波的吸附步骤或瞬态信号-经滤波的解吸步骤。
4.根据权利要求1所述的方法,其中接收光学发射光谱(OES)数据与循环重复的所述至少两个过程步骤同步。
5.根据权利要求1所述的方法,其还包括:
在确定所述第一静止部分之前,移除与切换所述至少两个过程步骤相关联的瞬态。
6.根据权利要求1所述的方法,其中所述第一静止部分是从在所述多步骤等离子体过程的所述至少两个过程步骤的选定的过程步骤期间获取的OES数据的上包络线导出的。
7.根据权利要求6所述的方法,其中所述选定的过程步骤是原子层蚀刻(ALE)过程的吸附步骤。
8.根据权利要求1所述的方法,其还包括:确定所述所接收的OES数据的第二静止部分,所述第二静止部分是原子层蚀刻(ALE)过程的解吸步骤的平均值。
9.根据权利要求8所述的方法,其还包括:确定所述第一静止部分和所述第二静止部分的平均值。
10.根据权利要求9所述的方法,其中确定终点基于所确定的第二静止部分。
11.根据权利要求9所述的方法,其中确定终点基于所述第一静止部分和所述第二静止部分的平均值。
12.根据权利要求1所述的方法,其中确定所述第一静止部分包括将移动平均滤波器应用于所述经滤波的过程步骤。
13.根据权利要求1所述的方法,其还包括:在基于第二静态信号确定所述终点之前,移除所述所接收的OES数据的瞬态信号。
14.根据权利要求13所述的方法,其中基于所述第二静态数据确定第二终点包括主成分分析。
15.根据权利要求1所述的方法,其中确定所述第一终点包括主成分分析。
16.根据权利要求1所述的方法,其中确定所述第一终点包括:
提供先前计算和存储的平均光学发射光谱(OES)数据矩阵[Savg];
提供先前计算并存储的主成分权重向量[P];
在所述循环多步骤等离子体过程期间以预定的时间间隔由第一静止信号形成光学发射光谱(OES)数据集;
从每个光学发射光谱(OES)数据集中减去先前提供的平均光学发射光谱(OES)数据矩阵[Savg],以便对每个光学发射光谱(OES)数据集进行去均值;
通过以下方式将每个去均值并非归一化的光学发射光谱(OES)数据集变换成经变换的光学发射光谱(OES)数据:使用所提供的主成分权重向量[P]计算经变换的光学发射光谱(OES)数据向量[T]的至少一个元素;
根据所述经变换的光学发射光谱(OES)数据向量[T]的所计算的至少一个元素,进一步计算趋势变量f(Ti);以及
在所述循环多步骤等离子体过程期间,根据所述趋势变量f(Ti)的计算值检测所述循环多步骤等离子体过程的所述第一终点。
17.一种存储程序的非暂时性计算机可读介质,所述程序致使一个或多个控制器执行确定等离子体过程终点的过程,所述过程包括:
接收来自等离子体处理系统的对基板执行多步骤等离子体过程的等离子体处理室的光学发射光谱(OES)数据,其中所述多步骤等离子体过程包括循环重复的至少两个过程步骤;
对每个过程步骤的瞬态信号进行滤波;
确定所述所接收的OES数据的第一静止部分,所述第一静止部分是所述多步骤等离子体过程的经滤波的过程步骤的平均值;以及
确定所确定的第一静止部分的终点。
18.一种等离子体处理系统,其包括:
用于对基板执行多步骤等离子体过程的等离子体处理室,其中所述多步骤等离子体过程包括循环重复的至少两个过程步骤;
耦合到所述等离子体处理室的传感器,所述传感器检测由光学发射光谱(OES)数据表示的所述至少两个过程步骤;
耦合到所述传感器和所述等离子体处理室的OES模块,其中所述OES模块被配置成执行以下步骤:
从所述传感器接收OES数据;
移除接收到的OES数据的瞬态信号;
确定所述OES数据的第一静止部分;以及
检测所述第一静止部分的终点。
19.根据权利要求18所述的系统,其中所述多步骤过程是原子层蚀刻(ALE)过程。
20.根据权利要求19所述的系统,其中所述至少两个过程步骤包括吸附步骤和解吸步骤。
21.根据权利要求18所述的系统,其中所述光学发射光谱(OES)模块被配置成执行以下步骤:使所述传感器对所述OES数据的获取与所述多步骤等离子体过程的循环重复的过程步骤同步。
22.根据权利要求18所述的系统,其中所述光学发射光谱(OES)模块被配置成执行以下步骤:确定所述OES数据的第二静止部分,其中所述第二静止部分是所述多步骤等离子体过程的经滤波的解吸步骤过程的平均值。
23.根据权利要求18所述的系统,其中所述第一静止部分是所述多步骤等离子体过程的经滤波的吸附步骤过程的平均值。
24.根据权利要求23所述的系统,其中所述吸附步骤过程是原子层蚀刻(ALE)过程。
25.根据权利要求18所述的系统,其中确定所述终点包括主成分分析。
CN201780053849.7A 2016-09-02 2017-08-31 用于原子层蚀刻(ale)的终点检测算法 Active CN109643673B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662382904P 2016-09-02 2016-09-02
US62/382,904 2016-09-02
PCT/US2017/049663 WO2018045197A1 (en) 2016-09-02 2017-08-31 Endpoint detection algorithm for atomic layer etching (ale)

Publications (2)

Publication Number Publication Date
CN109643673A true CN109643673A (zh) 2019-04-16
CN109643673B CN109643673B (zh) 2024-01-30

Family

ID=61280723

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780053849.7A Active CN109643673B (zh) 2016-09-02 2017-08-31 用于原子层蚀刻(ale)的终点检测算法

Country Status (7)

Country Link
US (1) US10453653B2 (zh)
JP (1) JP7009010B2 (zh)
KR (1) KR102489218B1 (zh)
CN (1) CN109643673B (zh)
SG (1) SG11201901731WA (zh)
TW (1) TWI769175B (zh)
WO (1) WO2018045197A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
KR20220030439A (ko) * 2020-08-31 2022-03-11 삼성전자주식회사 반도체 장치 제조 공정의 모니터링 방법 및 이를 포함하는 반도체 장치의 제조 방법
US11437289B2 (en) * 2020-09-30 2022-09-06 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626714A (en) * 1994-12-08 1997-05-06 Sumitomo Metal Industries Limited Method for detecting etching endpoint and etching apparatus and etching system using the method thereof
US20090029489A1 (en) * 2007-07-24 2009-01-29 Dms. Co. Ltd. Endpoint Detection Device For Realizing Real-Time Control Of Plasma Reactor, Plasma Reactor With Endpoint Detection Device, And Endpoint Detection Method
JP2015532544A (ja) * 2012-10-17 2015-11-09 東京エレクトロン株式会社 多変量解析を用いたプラズマエンドポイント検出
JP2016146384A (ja) * 2015-02-06 2016-08-12 株式会社東芝 半導体製造装置および半導体製造方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (zh) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5014217A (en) 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US6149761A (en) * 1994-12-08 2000-11-21 Sumitomo Metal Industries Limited Etching apparatus and etching system using the method thereof
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6419846B1 (en) 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US20030236759A1 (en) * 2002-06-21 2003-12-25 Tsung-Hsuan Ho Neural network for determining the endpoint in a process
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
KR20050053715A (ko) 2002-09-30 2005-06-08 도쿄 일렉트론 가부시키가이샤 플라즈마 처리 시스템을 구비한 광학 시스템용 장치 및방법
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US6969619B1 (en) * 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
KR101123171B1 (ko) * 2007-02-02 2012-03-20 렉사스 리서치 리미티드 플라즈마 에칭 프로세스의 프로세스 파라미터를 측정하는 방법 및 장치
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US8158017B2 (en) 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
US8513583B2 (en) 2009-11-23 2013-08-20 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics for generating a first plasma gradient and a second plasma gradient
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
US20130016344A1 (en) 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
US9200950B2 (en) 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform
US9548189B2 (en) * 2015-04-23 2017-01-17 Lam Research Corporation Plasma etching systems and methods using empirical mode decomposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626714A (en) * 1994-12-08 1997-05-06 Sumitomo Metal Industries Limited Method for detecting etching endpoint and etching apparatus and etching system using the method thereof
US5885472A (en) * 1994-12-08 1999-03-23 Sumitomo Metal Industries Limited Method for detecting etching endpoint, and etching apparatus and etching system using the method thereof
US20090029489A1 (en) * 2007-07-24 2009-01-29 Dms. Co. Ltd. Endpoint Detection Device For Realizing Real-Time Control Of Plasma Reactor, Plasma Reactor With Endpoint Detection Device, And Endpoint Detection Method
JP2015532544A (ja) * 2012-10-17 2015-11-09 東京エレクトロン株式会社 多変量解析を用いたプラズマエンドポイント検出
JP2016146384A (ja) * 2015-02-06 2016-08-12 株式会社東芝 半導体製造装置および半導体製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
WO2021034842A1 (en) * 2019-08-22 2021-02-25 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching

Also Published As

Publication number Publication date
JP7009010B2 (ja) 2022-01-25
KR20190039770A (ko) 2019-04-15
WO2018045197A1 (en) 2018-03-08
CN109643673B (zh) 2024-01-30
US20180068831A1 (en) 2018-03-08
SG11201901731WA (en) 2019-03-28
US10453653B2 (en) 2019-10-22
JP2019526939A (ja) 2019-09-19
TWI769175B (zh) 2022-07-01
KR102489218B1 (ko) 2023-01-16
TW201820186A (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
CN109643673A (zh) 用于原子层蚀刻(ale)的终点检测算法
US11728150B2 (en) Methods and apparatuses for determining the intact mass of large molecules from mass spectrographic data
US10488377B2 (en) Systems and methods to process data in chromatographic systems
WO2005045890A3 (en) Method and apparatus for etch endpoint detection
CN106850057B (zh) 一种适用于连续变量量子密钥分发的偏振补偿方法
JPWO2017077618A1 (ja) クロマトグラフ質量分析データ処理方法及び処理装置
GB2485257A (en) System and method for curating mass spectral libraries
WO2005031290A3 (en) Method and apparatus for mass spectrometry
JP2007127632A (ja) インテリジェントなsimの獲得
US20220172939A1 (en) Humidification of laser ablated sample for analysis
JP6929645B2 (ja) マルチトレース定量化
CN110958163B (zh) 基于网络帧传输特征的盗摄器材的检测方法、装置、电子设备及计算机可读介质
CN106463339B (zh) Ms/ms型质谱分析方法以及ms/ms型质谱分析装置
EP3430472B1 (en) Method of producing video images that are independent of the background lighting
WO2021064924A1 (ja) 波形解析方法及び波形解析装置
WO2019225573A1 (ja) 元素分析装置及び元素分析方法
Ma et al. An analysis of noise on optical emission spectroscopy measurements
WO2018134998A1 (ja) クロマトグラムデータ処理装置
JP4839254B2 (ja) 質量分析データ解析方法
EP4364182A1 (en) Image analysis of plasma conditions
JPS62159431A (ja) エツチング終点判定方法
JP2010048732A (ja) ガスクロマトグラフ装置
Meeks et al. Time-resolved argon theta-pinch plasma properties by line ratio method with collisional-radiative model
JP2004221608A (ja) エッチング終点判定方法及び装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant