CN108352316A - 蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法 - Google Patents

蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法 Download PDF

Info

Publication number
CN108352316A
CN108352316A CN201680062594.6A CN201680062594A CN108352316A CN 108352316 A CN108352316 A CN 108352316A CN 201680062594 A CN201680062594 A CN 201680062594A CN 108352316 A CN108352316 A CN 108352316A
Authority
CN
China
Prior art keywords
layer
oxide
reactant
etch
seconds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680062594.6A
Other languages
English (en)
Other versions
CN108352316B (zh
Inventor
克莱蒙特·兰斯洛特-马特拉斯
李柱昊
让-马克·吉拉尔
尼古拉斯·布拉斯科
伽蒂诺谕子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN108352316A publication Critical patent/CN108352316A/zh
Application granted granted Critical
Publication of CN108352316B publication Critical patent/CN108352316B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/80After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • B08B9/083Removing scrap from containers, e.g. removing labels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01009Fluorine [F]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • H01L2924/35121Peeling or delaminating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • ing And Chemical Polishing (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

披露了使用具有式MFx(加合物)n的氟化反应物自基板移除层的方法,其中x在从2至6范围内(包括端点);n在从0至5范围内(包括端点);M选自由以下各项组成的组:P、Ti、Zr、Hf、V、Nb、Ta、Mo及W;且该加合物为中性有机分子,选自THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫或甲基氰。这些氟化反应物干式蚀刻这些氮化物层而无需利用任何等离子体。

Description

蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法
相关申请的交叉引用
本申请要求2015年11月10日提交的美国临时申请序列号62/253,507的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
技术领域
披露了使用具有式MFx(加合物)n的氟化反应物自基板移除层的热方法,其中x在从2至6范围内(包括端点);n在从0至5范围内(包括端点);M是选自由以下各项组成的组的元素:P、Ti、Zr、Hf、V、Nb、Ta、Mo及W;且该加合物为中性有机分子,选自THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫或甲基氰。这些氟化反应物干式蚀刻这些层而无需利用任何等离子体。
背景技术
随着在CMOS栅极堆叠中引入新材料,诸如金属及高k材料,蚀刻必须着手解决新挑战。一些挑战包括避免形成硅凹陷且获得低表面粗糙度的方法选择性、栅极蚀刻各向异性、蚀刻速率的原子层或多重原子层尺度控制、缺乏残留物及方法后的反应器壁的清洁。
高级栅极堆叠中的临界尺寸必须得到严格控制。此控制需要很好的晶圆至晶圆再现性。然而,经常会在前端蚀刻方法中观测到方法变动,其可在蚀刻速率、蚀刻轮廓、蚀刻选择性、蚀刻均匀度,且更一般而言,方法性能方面产生变化。这些方法变动可经常归因于反应器壁条件(例如,反应器壁的化学组成)的变化。例如,在基板上沉积金属栅极堆叠(Si/TiN/HfO2)之后,氧化铪及氧化钛残留物还可涂覆腔室壁。F2、NF3及其他卤素典型地用于移除腔室壁上所沉积的任何此类涂层。参见例如,授予Kimura等人的美国专利申请公开号2005/082002,其使用F2及NO清结来自成膜设备的含Si膜。
基于等离子体的方法为典型地用于蚀刻金属或金属氧化物材料或自反应器移除其不需要的沉积物的方法。参见例如,使用含氟蚀刻流体(诸如PF3)及不饱和含氢聚合物沉积流体的循环等离子体波希法(授予液化空气集团(L'Air Liquide,S.A.)的WO2015/194178);使用PF5或F2等离子体蚀刻Si(授予松下电器股份有限公司(Matsushita ElectricInd.Co.Ltd.)的JP2007141918);基于BCl3的等离子体展现有前景的等离子体化学以蚀刻高k材料,且具体而言,HfO2,其在SiO2及Si基板上具有高选择性(Sungauer等人,J.Vac.Sci.Technol.[真空科学与技术杂志]B 25(2007)1640-1646);发现氯等离子体在电子回旋共振反应器中化学蚀刻ZrO2薄膜(Sha等人,J.Vac.Sci.Technol.,A20(2002)1525);为改进ZrO2的蚀刻选择性,将BCl3添加至Cl2等离子体中以提高ZrO2蚀刻速率,同时抑制硅蚀刻速率(Sha等人,J.Vac.Sci.Technol.,A21(2003)1915);以及HBr/SF6高密度等离子体系统中的ZrO2薄膜的蚀刻特征(蚀刻速率、对Si的选择性)的研究(Woo等人,Thin SolidFilms[固体薄膜]517(2009)4246-4250)。
干式等离子体蚀刻方法具有诸如设备成本、使用毒性或腐蚀性气体及对底层基板的潜在损坏的一些缺点。
H.Schafer(Z.Anorg.Allg.Chem.[无机和普通化学杂志]1960,305,341)及W.A.Jenkins(J.Inorg.Nucl.Chem.[无机化学与核化学杂志]1959,11,163)描述了在200℃与350℃之间的温度下根据方程Ta2O5(s)+3TaCl5(g)→5TaOCl3(g)的氧化钽(Ta2O5)的热蚀刻方法。观察到该反应为吸热的(约35kcal/mol)且蚀刻速率随温度而增加。然而,蚀刻速率过于缓慢(约)而不适合于替代现有的基于等离子体的方法。Knapas等人(Chem.Vap.Deposition[化学气相沉积]2009,15,第269-273页)发现,NbCl5蚀刻Nb2O5膜,从而产生挥发性NbOCl3。Mercier等人报导了NbCl5还与反应器的二氧化硅侧壁反应(Surfaceand Coatings Technology[表面和涂层技术],260,2014,第126-132页)。
US 6143191描述了用于将XeF2用作蚀刻共反应物来热蚀刻含铱材料的方法。US6284052描述了通过向化学气相沉积(CVD)腔室中引入水解的六氟乙酰基丙酮酸盐(hexafluoroacetylacetonate;Hhfac)蒸气以使氧化的金属沉积副产物挥发而自该腔室的内表面清洁金属沉积副产物的方法。
US 6077451描述了通过使用氟化氙(如XeF4、XeF6)、OF2、O2F2及IF6蚀刻氧化硅(SiO2)的方法。
授予林氏研究公司(Lam Research Corp.)的US 9130158及US 9391267披露了在一或多个循环中蚀刻具有至少一个金属层的堆叠的方法,该方法是通过将该金属层的一部分转化成金属氧化物、金属卤化物或晶格损坏的金属位点,且提供有机溶剂蒸气及有机配体溶剂以形成挥发性有机金属化合物。
仍需要改进的气态热蚀刻及腔室清洁方法。
标记和命名
贯穿以下说明及权利要求书中使用某些缩写、符号及术语,且其包括:
如本文所使用,术语“移除(remove/removing)”、“清洁(cleaning)”、“蚀刻(etch/etching)”是指通过使非等离子体蒸气与待自底层基板移除的层接触而形成挥发性反应产物的方法(即,干式非等离子体蚀刻方法)。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率的比率。术语“选择性的蚀刻(se1ective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
如本文所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如本文所使用,术语“大约(approximately)”或“约(about)”意指所陈述的值的±10%。
如本文所使用,术语“在从……范围内(包括端点)(ranges from…inclusive/inclusively ranges from…)”意指该范围包括端点。换言之,“x在从2至6范围内(包括端点)”意指x可为2或6以及两者之间的全部点。
如本文所使用,缩写是指埃,其为相当于0.1nm的长度单位。
如本文所使用,1托为相当于133.3Pa的压力单位。
本文中使用元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,S指的是硫,Si指的是硅,H指的是氢等)。
请注意,所沉积的膜或层(诸如氧化钒)在整个说明书及权利要求书中是在不提及其适当化学计量学(即VO2、V2O3、V2O5)的情况下列举。这些层可以包括纯(M)层、碳化物(MoCp)层、氮化物(MkNl)层、氧化物(MnOm)层或其混合物,其中M是元素并且k、l、m、n、o和p在从1至6范围内(包括端点)。例如,氧化钒是VkOl,其中k和l各自在从0.5至5范围内(包括端点)。更优选地,氧化钒是VO2、V2O3或V2O5。氧化物层可以是不同的二元或三元氧化物层的混合物。例如,氧化层可以是SrTiOx、BaTiOx、HfZrOx、HfTiOx、HfYOx、ZrYOx、TiAlOx、ZrErOx、ZrLaOx、ZrDyOx、HfDyOx、HfLaOx、TiErOx、TiYOx,其中x在从1至6范围内(包括端点)。氧化物层可以是不同氧化物层的堆叠,例如像HfO2/Al2O3纳米层压物。任何提及的层还可以包括氧化硅层SinOm,其中n在从0.5至1.5范围内(包括端点),并且m在从1.5至3.5范围内(包括端点)。更优选地,氧化硅层为SiO2或SiO3。氧化硅层可为基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(Applied Materials)的Black DiamondII或III材料。可替代地,任何提及的含硅层可为纯硅。任何含硅层还可以包括掺杂剂,诸如B、C、P、As和/或Ge。
发明内容
披露了使用氟化反应物自基板移除层的蚀刻方法。将氟化反应物的蒸气引入至含有在基板上的层的反应器中且与该层的至少一部分反应以形成挥发性氟化物种。自反应器移除这些挥发性氟化物种。所披露的方法可以包括以下方面中的一项或多项:
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的元素;x在从2至6范围内(包括端点);n在从0至5范围内(包括端点);且该加合物为中性有机分子,选自THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫或甲基氰;
·M是选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Ta、Mo及W;
·M是选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Mo及W;
·M是P;
·该氟化反应物具有式MFx,其中M为来自周期表的第IV族的元素(即,Ti、Zr或Hf),且x在从2至6范围内(包括端点);
·该氟化反应物具有式MFx,其中M为来自周期表的第V族的元素(即,V、Nb或Ta),且x在从2至6范围内(包括端点);
·该氟化反应物具有式MFx,其中M为Mo或W,且x在从2至6范围内(包括端点);
·该氟化反应物是TiF4
·该氟化反应物是ZrF4
·该氟化反应物是HfF4
·该氟化反应物是VF5
·该氟化反应物是NbF5
·该氟化反应物是TaF5
·该氟化反应物是MoF6
·该氟化反应物是WF6
·该氟化反应物是PF3
·该氟化反应物是PF5
·该氟化反应物进一步包含选自由以下各项组成的组的溶剂:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的元素,x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的第IV族的元素(即,Ti、Zr或Hf),x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的第V族的元素(即,V、Nb或Ta),x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为Mo或W,x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是TiF4(加合物)n,其中n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是ZrF4(加合物)n,其中n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是NbF5(加合物)n,其中n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是TaF5(加合物)n,n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是NbF5(SEt2);
·该氟化反应物是NbF4(SEt2);
·该氟化反应物是TaF5(SEt2);
·该氟化反应物是TaF4(SEt2)2
·该基板为氧化硅;
·该基板为硅晶圆;
·该基板为不锈钢;
·该基板为氧化铝;
·该基板为氮化铝;
·该层为氮化物层;
·该氮化物层选自由以下各项组成的组:氮化钛、氮化钒、氮化铬、氮化锰、氮化铁、氮化钴、氮化镍、氮化铜、氮化锌、氮化镓、氮化锗、氮化锶、氮化钇、氮化锆、氮化铌、氮化钼、氮化钌、氮化铑、氮化钯、氮化银、氮化镉、氮化铟、氮化锡、氮化锑、氮化碲、氮化铯、氮化铪、氮化钽、氮化钨、氮化铼、氮化锇、氮化镧、氮化铈、氮化镨、氮化钕、氮化钆、氮化镝、氮化铒、氮化镱、钛酸锶、钛酸钡、氮化铪锆、钛酸铪、氮化铪钇、氮化锆钇、铝酸钛、氮化锆铒、氮化锆镧、氮化锆镝、氮化铪镝、氮化铪镧、氮化钛铒及氮化钛钇;
·该氮化物层为氮化钛、氮化锆、氮化铪、氮化钒、氮化铌、氮化钽、氮化钼、氮化钨或其组合;
·该层为金属层;
·该金属层为钨;
·该金属层为钼;
·该金属层为第4族金属(Ti、Hf、Zr);
·该金属层为第5族金属(V、Nb、Ta);
·该层为氧化物层;
·该氧化物层为氧化钪(Sc2O3)、氧化钛(TiO2)、氧化钒(VO2、V2O3、V2O5)、氧化铬(CrO、CrO2、CrO3、CrO5、Cr8O21)、氧化锰(MnO、Mn3O4、Mn2O3、MnO2、Mn2O7)、氧化铁(FeO2、Fe2O3、Fe3O4、Fe4O5)、氧化钴(CoO、Co2O3、Co3O4)、氧化镍(NiO、Ni2O3)、氧化铜(CuO、Cu2O)、氧化锌(ZnO)、氧化镓(Ga2O3、GeO、GeO2)、氧化锗(GeO2)、氧化锶(SrO)、氧化钇(Y2O3)、氧化锆(ZrO2)、氧化铌(Nb2O5)、氧化钼(MoO2、MoO3)、氧化钌(RuO2)、氧化铑(Rh2O3)、氧化铟(In2O3)、氧化锡(SnO、SnO2)、氧化锑(Sb2O3)、氧化碲(TeO2)、氧化铯(Cs11O3、Cs4O、Cs7O、Cs2O)、氧化钡(BaO)、氧化镥(Lu2O3)、氧化铪(HfO2)、氧化钽(Ta2O5)、氧化钨(W2O3、WO2、WO3、W2O5)、氧化铼(Rh2O7、RhO2、RhO3)、氧化锇(OsO2、OsO4)、氧化铱(IrO2)、氧化铂(PtO2)、氧化金(Au2O3)、氧化铋(Bi2O3)、氧化镧(La2O3)、氧化铈(Ce2O3)、氧化镨(Pr2O3)、氧化钕(Nd2O3)、氧化钆(Gd2O3)、氧化镝(Dy2O3)、氧化铒(Er2O3)、氧化镱(Yb2O3)或其任何混合物;
·该氧化物层为氧化钛(TiO2);
·该氧化物层为氧化锆(ZrO2);
·该氧化物层为氧化铪(HfO2);
·该氧化物层为氧化铌(Nb2O5);
·该氧化物层为氧化钼(MoO2、MoO3);
·该氧化物层为氧化钽(Ta2O5);
·该氧化物层为氧化钨(W2O3、WO2、WO3、W2O5);
·通过CVD、ALD或PVD沉积氧化物层;
·氧化金属层以形成氧化物层;
·在一个步骤中完全氧化金属层;
·该金属层具有的厚度在从大约0.5nm至大约200nm范围内(包括端点);
·氧化金属层的顶部部分使得仅该金属层的表面被氧化;
·该金属层的氧化部分具有的厚度在从大约0.2nm至大约200nm范围内(包括端点);
·使用选自O2、H2O、O3、H2O2、N2O、二硅氧烷、醇、羧酸、O等离子体及其自由基、或其组合的氧化剂氧化金属层;
·氧化金属层以形成金属氧化物层且依序移除同一处理设备中的金属氧化物层;
·在一个处理腔室中氧化金属层以形成金属氧化物层且依序移除不同处理腔室中的金属氧化物层;
·重复氧化金属层以形成金属氧化物层的步骤及移除金属氧化物层的步骤多于一次以达到目标金属层移除厚度;
·氧化金属氮化物层以形成金属氮氧化物层且依序移除同一处理设备中的金属氮氧化物层;
·在一个处理腔室中氧化金属氮化物层以形成金属氮氧化物层且依序移除不同处理设备中的金属氮氧化物层;
·重复氧化金属氮化物层以形成金属氧氮化物层的步骤及移除金属氧氮化物层的步骤多于一次以达到目标金属层移除厚度;
·自金属基板选择性蚀刻氧化物层;
·自Al2O3基板选择性蚀刻Nb2O5层;
·自Al2O3基板选择性蚀刻Ta2O5层;
·自Al2O3基板选择性蚀刻TiO2层;
·自Al2O3基板选择性蚀刻ZrO2层;
·自Al2O3基板选择性蚀刻HfO2层;
·自SiO2基板选择性蚀刻Nb2O5层;
·自SiO2基板选择性蚀刻Ta2O5层;
·自SiO2基板选择性蚀刻TiO2层;
·自SiO2基板选择性蚀刻ZrO2层;
·自SiO2基板选择性蚀刻ZrO2层;
·自钨基板选择性蚀刻氧化钨层;
·自钼基板选择性蚀刻氧化钼层;
·自钽基板选择性蚀刻氧化钽层;
·自铌基板选择性蚀刻氧化铌层;
·自钒基板选择性蚀刻氧化钒层;
·自铪基板选择性蚀刻氧化铪层;
·自锆基板选择性蚀刻氧化锆层;
·自钛基板选择性蚀刻氧化钛层;
·自氮化物基板选择性蚀刻氧化物或氮氧化物层;
·自氮化钨基板选择性蚀刻氧化钨或氮氧化钨层;
·自氮化钼基板选择性蚀刻氧化钼或氮氧化钼层;
·自氮化钽基板选择性蚀刻氧化钽或氮氧化钽层;
·自氮化铌基板选择性蚀刻氧化铌或氮氧化铌层;
·自氮化钒基板选择性蚀刻氧化钒或氮氧化钒层;
·自氮化铪基板选择性蚀刻氧化铪或氮氧化铪层;
·自氮化锆基板选择性蚀刻氧化锆或氮氧化锆层;
·自钛基板选择性蚀刻氧化钛层;
·自基板热蚀刻该层的至少一部分;
·在方法期间不利用任何等离子体;
·将惰性气体引入该反应器中;
·该惰性气体选自由N2、He、Ar、Xe、Kr和Ne组成的组;
·该惰性气体是Ar;
·使氟化反应物与惰性气体混合以产生混合物,之后引入至反应器中;
·独立于惰性气体将氟化反应物引入至反应器中;
·将惰性气体连续引入至反应器中且以脉冲方式将氟化反应物引入至反应器中;
·将占氟化反应物与惰性气体的总体积的大约25%v/v至大约95%v/v的惰性气体引入至反应器中;
·整个方法中将氟化反应物的蒸气连续引入至反应器中;
·在方法期间将氟化反应物的蒸气脉冲至反应器中;
·分析自反应器移除的挥发性氟化物种;
·通过四极杆质谱仪测量挥发性氟化物种的排气;
·腔室具有的压力在从大约0托至大约500托范围内(包括端点);
·腔室具有的压力在从大约50毫托至大约20托范围内(包括端点);
·以在从大约0.1sccm至大约1slm范围内(包括端点)的流动速率将蚀刻气体引入至腔室中;
·将基板维持在从大约50℃至大约500℃范围内(包括端点)的温度下;并且
·将基板维持在从大约100℃至大约400℃范围内(包括端点)的温度下;
还披露了包含具有式MFx(加合物)n的氟化反应物的蚀刻组合物,其中M为来自周期表的元素;x在从2至6范围内(包括端点);n在从0至5范围内(包括端点);且该加合物为中性有机分子,其选自THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫或甲基氰。所披露的氧化物层蚀刻组合物可包括以下方面中的一项或多项:
·n是0;
·M是选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Nb、Ta、Mo及W;
·M是选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Ta、Mo及W;
·M是选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Mo及W;
·该氟化反应物具有式MFx,其中M为来自周期表的第IV族的元素(即,Ti、Zr或Hf),且x是4;
·该氟化反应物具有式MFx,其中M为来自周期表的第V族的元素(即,V、Nb或Ta),且x是5;
·该氟化反应物具有式MFx,其中M为Mo或W,且x是6;
·该氟化反应物是TiF4
·该氟化反应物是ZrF4
·该氟化反应物是HfF4
·该氟化反应物是VF5
·该氟化反应物是NbF5
·该氟化反应物是TaF5
·该氟化反应物是MoF6
·该氟化反应物是WF6
·该蚀刻组合物进一步包含选自由以下各项组成的组的溶剂:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的元素,x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的第IV族的元素(即,Ti、Zr或Hf),x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的第V族的元素(即,V、Nb或Ta),x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物具有式MFx(加合物)n,其中M为Mo或W,x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是TiF4(加合物)n,其中n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是ZrF4(加合物)n,其中n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是NbF5(加合物)n,其中n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是TaF5(加合物)n,n在从1至5范围内(包括端点)且该加合物为中性有机分子,选自由以下各项组成的组:THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫和甲基氰;
·该氟化反应物是NbF5(SEt2);
·该氟化反应物是NbF4(SEt2)2
·该氟化反应物是TaF5(SEt2);
·该氟化反应物是TaF4(SEt2)2
·蚀刻组合物包含在大约95%w/w与大约100%w/w之间的氟化反应物;
·蚀刻组合物包含在大约5%w/w与大约50%w/w之间的氟化反应物;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Al;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的As;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Ba;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Be;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Bi;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Cd;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Ca;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Cr;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Co;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Cu;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Ga;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Ge;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Hf;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Zr;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的In;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Fe;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Pb;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Li;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Mg;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Mn;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Ni;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的K;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Na;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Sr;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Th;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Sn;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Ti;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的U;
·蚀刻组合物包含在大约0ppbw与大约500ppbw之间的Zn;
·蚀刻组合物包含在大约0ppmw与大约500ppmw之间的Cl;
·蚀刻组合物包含在大约0ppmw与大约500ppmw之间的Br;
·蚀刻组合物包含在大约0ppmw与大约500ppmw之间的I;并且
·蚀刻组合物包含在大约0.0%w/w与0.1%w/w之间的Cl2
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在所述附图中相似元件给予相同或类似的参考号,并且其中:
图1是在此披露的氟化反应物输送装置的一个实施例的侧视图;
图2是在此披露的氟化反应物输送装置的第二实施例的侧视图;
图3为用于使固体氟化反应物升华的固体前体升华器的示例性实施例;
图4为实例1-6中使用的设备的示意图;
图5为显示不同氧化物层的厚度随NbF5引入处于5-7托下的热反应器中的时间而变化的图;
图6为显示不同氧化物层及氮化物层的厚度随VF5引入处于5-7托下的热反应器中的时间而变化的图;
图7为显示不同氧化物层及氮化物层的厚度随MoF6引入处于5-7托下的热反应器中的时间而变化的图;
图8为提供通过依序氧化金属膜且选择性移除该膜的氧化部分来依序蚀刻金属膜的顺序的流程图;
图9a为由Ti/TiN层上的钨层形成的大约120nm厚的氧化钨层的扫描电子显微镜(SEM)图像;
图9b为相同的由Ti/TiN层上的钨层形成的大约120nm厚的氧化钨层的能量色散X射线(EDX)图谱;
图9c为示出钨强度的图9b的EDX图谱;
图9d为示出氧强度的图9b的EDX图谱;
图10为在300℃下通过NbF5蚀刻200秒后残留的图9a的大约100nm厚的氧化钨层的SEM图像;
图11a为在350℃下通过NbF5蚀刻5秒后残留的图9a的大约52nm厚的氧化钨层的SEM图像;
图11b为在350℃下通过NbF5蚀刻10秒后残留的图9a的大约45nm厚的氧化钨层的SEM图像;
图11c为在350℃下通过NbF5蚀刻15秒后残留的图9a的大约25nm厚的氧化钨层的SEM图像;
图12a为在400℃下通过NbF5蚀刻1秒后残留的图9a的氧化钨层的SEM图像;
图12b为在400℃下通过NbF5蚀刻2秒后残留的图9a的氧化钨层的SEM图像;以及
图12c为在400℃下通过NbF5蚀刻氧化钨层3秒后残留的钨层的SEM图像,该蚀刻导致氧化钨层的完全移除。
具体实施方式
披露了在不使用等离子体的情况下使用氟化反应物移除层的方法。将氟化反应物的蒸气引入至含有布置于基板上的层的反应器中。氟化反应物的蒸气与层反应且形成挥发性氟化物种。因此,自该基板移除该层的至少一部分。
所披露的方法使得无需利用任何等离子体而移除层。例如,所披露的方法可自不同层选择性地蚀刻一个层。更具体地,所披露的方法可用于在具有在从大约1:1至60:1范围内的纵横比的DRAM沟槽中自Al2O3层干式蚀刻ZrO2层。可替代地,所披露的方法可用作自沉积反应器表面,诸如Al2O3、SiO2、或不锈钢移除残留物的清洁方法。在另一替代方案中,所披露的方法可自来自晶体管装置的栅极堆叠的底层掺杂多晶硅层选择性地蚀刻SiO2硬掩模覆盖层。
等离子体可在移除具有高纵横比的结构中的任何层期间对自其移除层的基板、尤其任何侧壁造成损坏。此损坏还可导致基板的污染。尤其对于腔室清洁应用及高纵横比结构的蚀刻,等离子体还可受制于不均匀性,导致死空间(等离子体无法到达的空间)。因此,等离子体蚀刻方法可能无法自被清洁或蚀刻的结构成功地移除整个层。因此,在不使用等离子体方法的情况下移除层的能力提供了优于使用等离子体的方法的显著优点。
氟化反应物具有式MFx(加合物)n,其中M为来自周期表的元素,x在从2至6范围内(包括端点),且n在从0至5范围内(包括端点)。其中n=0的示例性氟化反应物包括PF3、PF5、TiF4、ZrF4、HfF4、VF5、NbF5、TaF5、MoF6、WF6、或其组合。当M为第IV族元素(即,Ti、Zr或Hf)时,所得氟化反应物(即,TiF4、ZrF4、或HfF4)在标准温度及压力下为固体。当M为第V族元素(即,V、Nb或Ta)时,所得氟化反应物为VF5、NbF5或TaF5。VF5在标准温度及压力下为液体,而NbF5及TaF5为固体。M还可为来自周期表的第VI族的Mo或W。所得氟化反应物(即,MoF6及WF6)在标准温度及压力下为液体。本领域普通技术人员将认识到液体反应物的蒸气输送比固体反应物的蒸气输送更容易,使得使用VF5、MoF6及WF6的方法为本申请所关注的。
可替代地,该氟化反应物具有式MFx(加合物)n,其中M为来自周期表的元素,x在从2至6范围内(包括端点),n在从1至5范围内(包括端点),且该加合物为中性有机分子,选自THF、二甲醚、二乙醚、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫或甲基氰。示例性氟化反应物包括TiF4(加合物)n、ZrF4(加合物)n、NbF5-n(加合物)n、或TaF5-n(加合物)n,如NbF5(SEt2)、NbF4(SEt2)2、TaF5(SEt2)、和TaF4(SEt2)2。对于固体氟化反应物,添加加合物可帮助在标准温度及压力下将反应物相自固相改变成液相,如上文所论述,其有益于蒸气输送,使得加合氟化反应物良好适用于腔室清洁应用。然而,对于高纵横比结构的蚀刻而言,使用加合反应物可被证实是污染问题。
所披露的具有式MFx的氟化反应物,其中M为来自周期表的元素且x在从2至6范围内(包括端点),是可商购的。例如,西格玛-奥德里奇公司(Sigma-Aldrich)目前出售TiF4、ZrF4、HfF4、NbF5、TaF5和WF6,以及Advance Research Chemicals公司目前出售VF5及MoF6。所披露的氟化反应物MFx(加合物)n可根据Journal of the Less-Common Metals[稀有金属杂志],61(1978)1-30中所述的程序、通过在合适的加合物溶剂中混合相应的氟化反应物MFx来制备。本领域普通技术人员将认识到,在溶剂中混合氟化反应物MFx可产生加合物或溶液,取决于该反应物及该溶剂。
优选的氟化反应物享有弱M-F键,其使得游离F-自由基的解离更容易且容易与基板反应以产生可自反应器移除的挥发性氟化物种。固体NbF5、TaF5、TiF4、ZrF4、或HfF4反应物可在高温(在从大约30℃至大约150℃范围内(包括端点))下用于所披露的方法中以便输送蒸气。液体VF5、MoF6、以及WF6可在较低的温度(在从反应物的冰点至大约150℃范围内(包括端点))下使用。优选地,氟化反应物为VF5、NbF5、TaF5、MoF6、WF6、NbF5(SEt2)、或TaF5(SEt2),且更优选为NbF5或TaF5
所披露的氟化反应物的纯度优选地高于90%w/w。对于蚀刻应用,尤其高纵横比蚀刻应用而言,所披露的氟化反应物的纯度可高于99.9%w/w以防止污染问题,且优选高于99.99%w/w。所披露的蚀刻氟化反应物可含有以下杂质中的任一种:氯、溴化物、碘、水分(H2O)、氟化氢(HF)、氯化氢(HCl)、溴化氢(HBr)、碘化氢(HI)或其他有机及无机杂质。优选地,这些杂质中的每一种的总量是低于0.1%w/w。此类杂质可通过升华、蒸馏或在适合溶剂中再结晶或任何其他适合的纯化方法移除。用于再结晶的适合溶剂可选自THF、苯、甲苯、二甲苯、二甲醚、二乙醚、二氯甲烷、三氯甲烷、四氯甲烷、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、聚乙二醇二甲醚、二甲基硫、二乙基硫或甲基氰。
所披露的氟化反应物还可包括ppbw(十亿分之一重量)水平的金属或类金属杂质。这些金属或类金属杂质包括但不限于锂(Li)、铍(Be)、钠(Na)、镁(Mg)、铝(Al)、硅(Si)、钾(K)、钙(Ca)、钪(Sc)、钛(Ti)、钒(V)、铬(Cr)、锰(Mn)、铁(Fe)、钴(Co)、镍(Ni)、铜(Cu)、锌(Zn)、镓(Ga)、锗(Ge)、砷(As)、锶(Sr)、钇(Y)、锆(Zr)、铌(Nb)、钼(Mo)、钌(Ru)、铑(Rh)、钯(Pd)、银(Ag)、镉(Cd)、铟(In)、锡(Sn)、锑(Sb)、碲(Te)、铯(Cs)、钡(Ba)、镥(Lu)、铪(Hf)、钽(Ta)、钨(W)、铼(Re)、锇(Os)、铱(Ir)、铂(Pt)、金(Au)、汞(Hg)、铊(Tl)、铅(Pb)、铋(Bi)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、镝(Dy)、铒(Er)和/或镱(Yb)。
所披露的氟化反应物的蒸气用于自基板移除层而无需利用任何等离子体方法。这些方法可适用于制造半导体装置、光伏装置、LCD-TFT装置、或平板装置。可使用本领域技术人员已知的任何干式蚀刻方法将所披露的氟化反应物用于移除层。例如,蚀刻方法可在其中布置有基板的低压热反应器中发生。可替代地,所披露的氟化反应物可用于在原子尺度下控制蚀刻速率的原子层蚀刻方法(不同于原子层沉积,原子层蚀刻并非自限制反应)中。在任一替代方案中,底层基板可为反应器材料,诸如反应器壁,或其上具有一或多个层的晶圆。
基板一般定义为在其上进行方法的材料。基板可为反应器的内部零件,诸如反应器壁。可替代地,基板可为晶圆,诸如硅晶圆、碳化硅晶圆、二氧化硅晶圆、玻璃晶圆、GaAs晶圆或GaN晶圆。该反应器可含有从1至200个具有从25.4mm至450mm的直径的硅晶圆。该晶圆可具有一个或多个从先前的制造步骤沉积在其上的不同材料层,包括有待移除的层。例如,这些晶圆可包括硅层(结晶、非晶形、多孔等)、碳化硅层、氧化硅层、氮化硅层、氮氧化硅层、碳掺杂的氧化硅(SiCOH)层或其组合。另外,这些晶圆可包括GaN层、铝层、铜层、钨层或贵金属层(例如铂、钯、铑或金)。也可使用塑料层诸如聚(3,4-亚乙基二氧噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。这些层可以是平面的或图案化的。所披露的方法可直接蚀刻单层或蚀刻超过一个(当自基板使层图案化时)位于晶圆顶部上的层。此外,本领域普通技术人员将认识到,本文所用的术语“膜”或“层”是指铺设在表面上或铺展在表面上方的一些材料的厚度并且该表面可为不覆盖整个晶圆或反应器表面的沟槽或管线。贯穿本说明书和权利要求书,反应器或晶圆和其上的任何相关层称为基板。例如,硅晶圆顶部上的SiO2层。
层可为金属层、氮化物层、氧化物层或其组合。
示例性金属层包括钪(Sc)、钛(Ti)、钒(V)、铬(Cr)、锰(Mn)、铁(Fe)、钴(Co)、镍(Ni)、铜(Cu)、锌(Zn)、镓(Ga)、锗(Ge)、砷(As)、硒(Se)、锶(Sr)、钇(Y)、锆(Zr)、铌(Nb)、钼(Mo)、钌(Ru)、铑(Rh)、钯(Pd)、银(Ag)、镉(Cd)、铟(In)、锡(Sn)、锑(Sb)、碲(Te)、铯(Cs)、钡(Ba)、铪(Hf)、钽(Ta)、钨(W)、铼(Re)、锇(Os)、铱(Ir)、铂(Pt)、金(Au)、汞(Hg)、铊(Tl)、铅(Pb)、铋(Bi)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、镝(Dy)、铒(Er)、镱(Yb)和/或镥(Lu)。
示例性氧化物层包括氧化钪(Sc2O3)、氧化钛(TiO2)、氧化钒(VO2、V2O3、V2O5)、氧化铬(CrO、CrO2、CrO3、CrO5、Cr8O21)、氧化锰(MnO、Mn3O4、Mn2O3、MnO2、Mn2O7)、氧化铁(FeO2、Fe2O3、Fe3O4、Fe4O5)、氧化钴(CoO、Co2O3、Co3O4)、氧化镍(NiO、Ni2O3)、氧化铜(CuO、Cu2O)、氧化锌(ZnO)、氧化镓(Ga2O3、GaO、GaO2)、氧化锗(GeO2)、氧化锶(SrO)、氧化钇(Y2O3)、氧化锆(ZrO2)、氧化铌(Nb2O5)、氧化钼(MoO2、MoO3)、氧化钌(RuO2)、氧化铑(Rh2O3)、氧化钯(PdO)、氧化银(Ag2O)、氧化镉(CdO)、氧化铟(In2O3)、氧化锡(SnO、SnO2)、氧化锑(Sb2O3)、氧化碲(TeO2)、氧化铯(Cs11O3、Cs4O、Cs7O、Cs2O)、氧化钡(BaO)、氧化镥(Lu2O3)、氧化铪(HfO2)、氧化钽(Ta2O5)、氧化钨(W2O3、WO2、WO3、W2O5)、氧化铼(Rh2O7、RhO2、RhO3)、氧化锇(OsO2、OsO4)、氧化铱(IrO2)、氧化铂(PtO2)、氧化金(Au2O3)、氧化铋(Bi2O3)、氧化镧(La2O3)、氧化铈(Ce2O3)、氧化镨(Pr2O3)、氧化钕(Nd2O3)、氧化钆(Gd2O3)、氧化镝(Dy2O3)、氧化铒(Er2O3)或氧化镱(Yb2O3)。
示例性氮化物层包括氮化钛(TiNx)、氮化钽(TaNx)、氮化硅(SiNx)、氮化钒(VNx)、氮化钨(WNx)、氮化钼(MoNx)、氮化铬(CrNx)、氮化铪(HfNx)、氮化锆(ZrNx)、氮化锗(GeNx)、氮化锰(MnNx)、氮化镍(NiNx)。本领域技术人员将认识到,氮化物涵盖碳氮化物,其具有式MCxNy,其中M为Si、Ge或过渡金属元素,且x及y包括在0与12之间;及硅碳氮化物,其具有式MSixCyNz,其中M为Ge或过渡金属,且x、y及z包括在0与12之间(包括端点)。
氧化物层还可为二元或三元氧化物层。例如,氧化物层可为钛酸锶(SrTiOx)、钛酸钡(BaTiOx)、氧化铪锆(HfZrOx)、钛酸铪(HfTiOx)、氧化铪钇(HfYOx)、氧化锆钇(ZrYOx)、铝酸钛(TiAlOx)、氧化锆铒(ZrErOx)、氧化锆镧(ZrLaOx)、氧化锆镝(ZrDyOx)、氧化铪镝(HfDyOx)、氧化铪镧(HfLaOx)、氧化钛铒(TiErOx)或氧化钛钇(TiYOx),其中x如上文所定义。
层还可为不同层的堆叠,诸如例如HfO2/Al2O3纳米层压物。更优选地,氧化物层选自由以下各项组成的组:氧化钛(TiO2)、氧化锆(ZrO2)、氧化铪(HfO2)、氧化铌(Nb2O5)、氧化钼(MoO2、MoO3)及氧化钽(Ta2O5)。
将氟化反应物的蒸气引入至含有基板的反应器中,该基板具有位于其上的层。可以在从大约0.1sccm至大约1slm范围内的流动速率下将氟化反应物的蒸气引入至反应器中以在反应器中产生在从大约0托至大约500托范围内的总压力。蒸气可通过载体气体,诸如N2、Ar或Kr稀释。例如,对于200mm晶圆尺寸,可以在从大约0.1sccm至大约200sccm范围内(包括端点)的流动速率下将氟化反应物蒸气引入至腔室中。可替代地,对于450mm晶圆尺寸,可以在从大约100sccm至大约600sccm范围内(包括端点)的流动速率下将氟化反应物蒸气引入至腔室中。本领域普通技术人员将认识到,流动速率将随工具不同而改变。在一个替代方案中,可将氟化反应物蒸气连续引入至腔室中。在另一个替代方案中,将氟化反应物蒸气依序引入至腔室中,即,通过脉冲与吹扫交替的顺序(其有时称为原子层蚀刻)引入。
通过常规手段(诸如管道和/或流量计)将氟化反应物以蒸气形式引入至反应器中。反应物的蒸气形式可以通过以下方式产生:通过常规蒸发步骤(如直接蒸发、蒸馏、直接液体注射)、通过鼓泡、或通过使用升华器(如Xu等人的PCT公开WO 2009/087609中披露的那种)蒸发该反应物。反应物可以液体进料到气化器中,在那里使其气化,随后将其引入反应器中。可替代地,可通过将载体气体传送至含有该反应物的容器中或通过将载体气体鼓泡进该反应物中使该反应物汽化。该载体气体可包括但不限于Ar、He、N2及其混合物。用载体气体鼓泡还可移除反应物中存在的任何溶解氧。该载体气体和反应物然后作为蒸气被引入反应器中。
如果必要,所披露的氟化反应物的容器可以被加热到允许该反应物处于其液相和/或具有足够的蒸气压的温度。可以将该容器维持在例如大约0℃至大约150℃范围内(包括端点)的温度下。本领域技术人员认识到可以按已知方式调节容器的温度以控制气化的反应物的量。
可通过图1至图3的氟化反应物输送装置将氟化反应物输送至反应器中,这些图示出了氟化反应物输送装置的三个示例性实施例。
图1是氟化反应物输送装置1的一个实施例的侧视图。在图1中,所披露的氟化反应物11被包含在具有两个导管(入口导管3和出口导管4)的容器2内。反应物领域中的普通技术人员将认识到,容器2、入口导管3和出口导管4被制造成即使在升高的温度和压力下也防止气态形式的氟化反应物11逸出。
输送装置1经由阀6和7流体地连接到该反应器(未示出)或在该输送装置与该反应器之间的其他部件,如气柜。优选地,容器2、入口导管3、阀6、出口导管4和阀7由316L EP或304不锈钢制成。然而,本领域普通技术人员将认识到,还可将其他非反应性材料用于本文中的传授内容中且任何腐蚀性氟化反应物11可能需要使用更耐腐蚀的材料,诸如例如由哈氏合金国际公司(Haynes International,Inc.)以商标或由亨廷顿合金公司(Huntington Alloys Corporation)以商标出售的基于镍的合金。可替代地,暴露于氟化反应物11,且尤其暴露于含有氟化反应物11的储存容器的零件可涂覆有耐氟化物的涂层,诸如但不限于镍、类金刚石碳、全氟化碳、氧化铝、碳化硅及碳氮化硅、金属碳化物等及其多层。
在图1中,入口导管3的末端8位于氟化反应物11的表面的上方,而出口导管4的末端9位于氟化反应物11的表面的下方。在此实施例中,氟化反应物11优选呈液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体可被引入至入口导管3中。该惰性气体对输送装置2加压,使得迫使液体氟化反应物11通过出口导管4并且进入反应器(未示出)。该反应器可以包括蒸发器,该蒸发器在使用或不使用载体气体如氦气、氩气、氮气或其混合物下将液体氟化反应物11转化为蒸气,以便将该蒸气输送至氧化物膜位于其上的基板。
图2是氟化反应物输送装置1的第二实施例的侧视图。在图2中,入口导管3的末端8位于氟化反应物11的表面的下方,而出口导管4的末端9位于氟化反应物11的表面的上方。图2还包括任选的加热元件14,该加热元件可以升高氟化反应物11的温度。在此实施例中,氟化反应物11可以呈固体或液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体被引入至入口导管3中。该惰性气体鼓泡通过氟化反应物11,并携带该惰性气体和气化的氟化反应物11的混合物至出口导管4并到该反应器上。
图1和图2包括阀6和7。本领域普通技术人员将认识到,阀6和7可以被置于打开或关闭位置,以分别允许流过导管3和4。如果氟化反应物11是呈蒸气形式或如果足够的蒸气压力存在于该固相/液相上方,则可以使用图1和图2中的任一输送装置1或者具有在存在的任何固体或液体表面上方终止的单个导管的更简单的输送装置。在此情况下,通过打开图1中的阀6或图2中的阀7,使氟化反应物11以蒸气形式通过导管3或4输送。输送装置1可以被保持在合适的温度下以提供足够的蒸气压力用于使氟化反应物11以蒸气形式输送,例如通过使用任选的加热元件14。
虽然图1和图2披露了氟化反应物输送装置1的两个实施例,但是本领域普通技术人员将认识到,入口导管3和出口导管4都还可以位于氟化反应物11的表面的上方或下方,而不脱离在此的披露内容。此外,入口导管3可以是填充端口。例如,对于以下的固体NbF5研发(R&D)实例而言,输送装置1包括位于氟化反应物11的表面上方的入口导管3及出口导管4。载体气体流过固体氟化反应物11的表面上方的入口导管3,且氟化反应物11的蒸气与载体气体的混合物经由出口导管4离开输送装置1。相比之下,使用真空及位于液体氟化反应物11的表面上方的单一出口导管4产生液体VF5及MoF6的蒸气。
还可使用升华器将固体形式的氟化反应物输送至反应器中。图3示出了示例性升华器100的一个实施例。升华器100包括容器33。容器33可以是圆柱形容器,或可替代地,可以是但不限于任何形状。容器33由以下材料构造,这些材料如但不限于不锈钢、镍及其合金、石英、玻璃、以及其他化学上相容的材料。在某些情况下,容器33由另一种金属或金属合金构造(没有限制)。在某些情况下,容器33具有从约8厘米至约55厘米的内径,并且可替代地,从约8厘米至约30厘米的内径。如由本领域技术人员理解的,替代配置可以具有不同的尺寸。
容器33包括可密封的顶部15、密封件18、以及垫片20。可密封的顶部15被配置成密封容器33远离外部环境。可密封的顶部15被配置成允许进入容器33。附加地,可密封的顶部15被配置成供导管进入容器33中。可替代地,可密封的顶部15被配置成允许流体流动到容器33中。可密封的顶部15被配置成接收并且穿过包括浸入管92的导管以保持与容器33处于流体接触。具有控制阀90和配件95的浸入管92被配置成用于使载体气体流动到容器33中。在某些情况下,浸入管92沿着容器33的中心轴向下延伸。进一步地,可密封的顶部15被配置成接收并且穿过包括出口管12的导管。将载体气体以及氟化反应物的蒸气通过出口管12从容器33中移除。出口管12包括控制阀10和配件5。在某些情况下,将出口管12流体联接至气体输送歧管,用于将载体气体从升华器100引导至该反应器。
容器33和可密封的顶部15被至少两个密封件18,可替代地,被至少约四个密封件密封。在某些情况下,可密封的顶部15被至少约八个密封件18密封到容器33上。如由本领域技术人员理解的,密封件18可释放地将可密封的顶部15联接到容器33上,并且与垫片20形成耐受气体的密封。密封件18可以包括对于本领域技术人员已知的用于密封容器33的任何合适的器件。在某些情况下,密封件18包括翼形螺钉。
如图3中所示,容器33进一步包括至少一个安置在其中的盘。该盘包括用于固体材料的搁架或水平支承件。在某些实施例中,内部盘30被环状地安置在容器33内,使得盘30包括小于容器33的内径或周长的外径或周长,形成开口31。外部盘86被周向地安置在容器33内,使得盘86包括与容器33的内径相同、大约相同、或总体上一致的外径或周长。外部盘86形成安置在该盘的中心处的开口87。多个盘被安置在容器33内。这些盘以交替方式堆叠,其中内部盘30、34、36、44与交替的外部盘62、78、82、86在该容器内竖直地堆叠。在实施例中,内部盘30、34、36、44环状地向外延伸,并且外部盘62、78、82、86环状地朝向容器33的中心延伸。如图3的实施例中所示,内部盘30、34、36、44不与外部盘62、78、82、86处于物理接触。
组装的升华器100包括内部盘30、34、36、44,这些内部盘包括对齐且联接的支承脚50,内部通道51,同心壁40、41、42,以及同心槽缝47、48、49。内部盘30、34、36、44竖直地堆叠,并且围绕浸入管92环状地定向。附加地,该升华器包括外部盘62、78、82、86。如图3中所示,外部盘62、78、82、86应该紧密地配合到容器33中用于良好接触以将热量从容器33传导至盘62、78、82、86。优选地,外部盘62、78、82、86被联接至容器33的内壁,或者处于与该内壁物理接触。
如所示,外部盘62、78、82、86和内部盘30、34、36、44堆叠在容器33内部。当在容器33中组装以形成升华器100时,内部盘30、34、36、44在组装的外部盘62、78、82、86之间形成外部气体通道31、35、37、45。进一步地,外部盘62、78、82、86与内部盘30、34、36、44的支承脚形成内部气体通道56、79、83、87。内部盘30、34、36、44的壁40、41、42形成用于保持固体前体的带凹槽的槽缝。外部盘62、78、82、86包括用于保持固体前体的壁68、69、70。在组装期间,将这些固体前体装载到内部盘30、34、36、44的环形槽缝47、48、49以及外部盘62、78、82、86的环形槽缝64、65、66中。
虽然图3披露了能够将任何固体氟化反应物的蒸气输送至该反应器的升华器的一个实施例,但本领域普通技术人员将认识到,其他升华器设计也是合适的,而不脱离在此的传授内容。最后,本领域普通技术人员将认识到,可使用其他输送装置(如Jurcik等人的WO2006/059187中所披露的安瓿)将所披露的氟化反应物输送至半导体加工工具中,而不脱离在此的传授内容。
该反应器可以是在其中进行沉积方法的装置内的任何外壳或腔室,如但不限于:炉、平行板型反应器、冷壁型反应器、热壁型反应器、单晶圆反应器、多晶圆反应器(即,炉)、或在适于引起反应物与氧化物层反应并形成挥发性氟化物种的条件下的其他类型的沉积系统。
材料相容性测试对于确定氟化反应物中的任一者是否将与腔室材料反应且在短期或长期使用情况下降低其性能而言是重要的。腔室、阀门等的零件中所涉及的关键材料包括不锈钢、铝、镍、PCTFE、PVDF、PTFE及其他金属以及聚合物。有时这些材料暴露于较高温度及压力,其可促进其降解。计量方法可以包括目视检查、重量测量、测量SEM中的纳米尺度变化、拉伸强度、硬度等。如以下实例中所示,NbF5不蚀刻典型的腔室材料,诸如Al2O3及SiO2。这是出人意料的,因为如背景技术中所论述,熟知的是NbCl5与反应器的二氧化硅侧壁反应(Surface and Coatings Technology[表面和涂层技术],260,2014,第126-132页)。
反应器内的温度和压力保持在适用于热蚀刻的条件下。换言之,在将氟化反应物的蒸气引入至腔室中后,腔室内的条件为使得层的至少一部分被蚀刻。本领域普通技术人员将认识到,“层的至少一部分被蚀刻”意指层中的一些或全部与氟化反应物反应以形成挥发性氟化物种。例如,根据每个蚀刻参数所要求的,反应器中的压力可以保持在约1Pa与约105Pa之间,更优选在约25Pa与约103Pa之间。同样,反应器中的温度可以保持在约50℃与约500℃之间,优选在约100℃与约400℃之间。本领域普通技术人员将认识到,“层的至少一部分被蚀刻”意指层中的一些或全部被移除。
可通过控制基板固持器的温度或控制反应器壁的温度来控制反应器的温度。用于加热基板的装置是本领域中已知的。该反应器壁被加热至足够温度以便以足够的蚀刻速率和所希望的选择性进行蚀刻。非限制性示例性温度范围(可以将该反应器壁加热到该温度范围)包括从大约100℃至大约500℃。当进行热方法时,蚀刻温度可在从约100℃至约500℃的范围内。
还可以将惰性气体引入该反应器中。该惰性气体可为He、Ar、Xe、Kr、Ne或其组合。在引入该反应器中之前,可以混合该氟化反应物的蒸气和该惰性气体,其中该惰性气体占所得混合物的在大约50%v/v与大约95%v/v之间。可替代地,可将该惰性气体连续地引入该反应器中,而以脉冲形式将该氟化反应物的蒸气引入该反应器中。
反应器内的蚀刻条件允许所披露的氟化反应物与层反应且形成挥发性氟化物种。申请人相信氟化物种具有自反应器排空的足够挥发性。例如,根据方程3NbF5(气体)+Nb2O5(固体)→Nb(=O)F3(气体),氟化铌(NbF5)可与氧化铌(Nb2O5)反应以形成挥发性氧氟化铌(Nb(=O)F3)。在另一实例中,根据方程2NbF5(气体)+ZrO2(固体)→ZrF4(气体)+2Nb(=O)F3(气体),氟化铌(NbF5)可与氧化锆(ZrO2)反应以形成挥发性氟化锆(ZrF4)。氟化铌还可与氧化锆(ZrO2)反应以形成挥发性氧氟化锆(ZrOxFy,其中x=0-2(包括端点)且y=0-4(包括端点))。申请人相信其他氟化前体将以相同或相似的方式反应。
本领域普通技术人员将认识到,当层被完全蚀刻时,本文所披露的蚀刻方法进行到末尾。这可通过在待蚀刻的层下放置蚀刻终止层或通过对蚀刻方法进行计时来确定。可替代地或另外,还可使用四极杆质谱法(QMS)监测挥发性氟化物种的量的排气。QMS分析中挥发性氟化物种的数量的降低表明氟化反应物与层之间的反应减缓且接近方法的末尾。
取决于待移除的层,可将额外的氟化反应物的蒸气引入至反应器中。该额外的反应物可用于提供额外的特定蚀刻特性,诸如选择性蚀刻。该额外的氟化反应物可包括所披露的反应物的组合或其他已知氟化蚀刻气体的添加物,诸如XeF2
可将氟化反应物循环地引入至反应器中。更具体地,可在引入惰性气体同时或之前输送氟化反应物的蒸气的脉冲,引起反应物-惰性气体2步骤循环。此类型的引入允许控制蚀刻层的每一循环蚀刻厚度,有时被称作原子层蚀刻。
可替代地,可依序引入不同氟化反应物的蒸气。例如,在第一步骤中,引入NbF5的蒸气且使其与层反应。在第二步骤中,使用惰性气体,诸如N2或Ar吹扫任何过量的NbF5及反应的物种。在第三步骤中,引入另一种氟化反应物,诸如ZrF4的脉冲。在第四步骤中,使用载体气体,诸如N2或Ar吹扫任何过量的ZrF4及反应的物种。然后重复步骤1至4以使得精确控制蚀刻厚度。
所披露的方法可用于移除逻辑装置、闪存装置及DRAM装置中的牺牲金属氧化物硬掩膜;逻辑装置中的栅极氧化物;闪存装置中的阻挡氧化物;光刻掩膜;逻辑中的MIM电容器结构;及腔室壁上的氧化物沉积物。
对于金属层,诸如钨、钼、钽、铌、钒、铪、锆、钛或其组合,所披露的蚀刻方法可在氧化步骤之前。图8为提供通过依序氧化/氮化金属膜且选择性移除该膜的氧化/氮化部分来依序蚀刻金属膜的顺序的流程图。更具体地,如图8中所示,可使基板上的含金属层或金属层经受氧化或氮化以在金属层的顶部上形成金属氧化物层或金属氮化物层。本领域普通技术人员将认识到,分别需要含氧或含氮反应物以进行氧化或氮化方法。例如,可使用O2、CO、CO2、NO、N2O、NO2、其自由基物种或其混合物来氧化金属层。可替代地,可使用NH3、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、其含氮自由基物种及其混合物来氮化金属层。氧化或氮化步骤可使得整个金属层被氧化或氮化,尤其当该金属层具有的厚度在从大约0.2nm至大约200nm范围内(包括端点)时。可替代地,氧化或氮化步骤可仅氧化或氮化金属层的顶部表面。然后可使用所披露的氟化反应物移除金属氧化物层或金属氮化物层。可重复氧化/氮化步骤及蚀刻方法直到移除整个金属层。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例并不旨在包括所有情况并且并不旨在限制在此所描述的发明的范围。
图4为用于实例1-6的测试的设备的示意图。该设备包括含有晶圆载物台101的反应器400。将反应器400的壁加热至120℃。将晶圆载物台101加热至以下实例中所指定的温度。通过装载锁定腔室102将晶圆转移至晶圆载物台101,该装载锁定腔室连接至泵108。淋喷头103位于反应器400的顶部中,且可通过RF发生器106产生等离子体。然而,实例1-6中不使用等离子体。干燥泵105移除反应器400的内含物。
经由管线201将氟化反应物自输送装置200引入至反应器400中。本领域普通技术人员将认识到,输送装置200可为图1至图3的装置中的任一者或其变体。如果必要,可加热管线201以维持氟化反应物呈蒸气形式。可经由管线301将惰性气体300,诸如Ar输送至输送装置200。还可经由管线302将惰性气体300输送至反应器400。
本领域普通技术人员将认识到,管线201、301及302可包括许多压力计、止回阀、阀门及压力调节器,且为了简化附图,并未将用于压力调节或旁通流的额外管线包括在内。
实例1:通过NbF5蚀刻氧化物层的测试
通过原子层沉积将分别厚的Nb2O5、Ta2O5、ZrO2、HfO2、TiO2和Al2O3层沉积在硅基板上。使用椭圆偏振测量术确定这些层的厚度。本领域普通技术人员将认识到,还可使用扫描电子显微术(SEM)、透射电子显微术(TEM)、X射线光电子能谱法(XPS)、次级电离质谱法(SIMS)或俄歇电子能谱法(AES)来测量在蚀刻之前和之后的层的厚度。
经由装载锁定腔室102将Nb2O5、Ta2O5、ZrO2、HfO2、TiO2和Al2O3基板及厚的SiO2基板引入至图4的热反应器400中且置于加热至200℃、300℃、350℃或400℃的晶圆载物台101上。反应器400压力维持在5托至6托下。将NbF5置于加热至74℃的升华器容器200中且以255sccm用Ar载体气体300将其蒸气连续引入至反应器400中。在30秒及60秒(200℃及300℃下的实验);5秒、10秒、20秒、30秒及60秒(350℃下的实验);及1秒、3秒、5秒、10秒、20秒、30秒及60秒(400℃下的实验)后测量氧化物层的厚度。在200℃下,在30秒及60秒后氧化物层中无一者有所降低。
在300℃下,Nb2O5在30秒后降低至且在60秒后降低至Ta2O5在30秒后降低至且在60秒后降低至TiO2在30秒后降低至且在60秒后降低至
在350℃下,Nb2O5在5秒后降低至且在10秒后降低至Ta2O5在5秒后降低至且在10秒后降低至ZrO2在10秒后降低至在20秒后降低至在30秒后降低至且在60秒后降低至HfO2在10秒后降低至在20秒后降低至在30秒后降低至且在60秒后降低至且TiO2在5秒后降低至在10秒后降低至在20秒后降低至且在30秒后降低至
申请人在Nb2O5、Ta2O5和TiO2层在350℃及400℃下被完全蚀刻后及ZrO2层在400℃下被完全蚀刻后观察到含氟层沉积。当形成沉积物时,沉积速率随时间降低。换言之,蚀刻方法开始时的蚀刻速率比蚀刻方法即将结束之际的蚀刻速率快。此效应可能能够通过控制蚀刻剂引入时间来控制。
在400℃下,Nb2O5在1秒后降低至在3秒后降低至且在5秒后降低至Ta2O5在1秒后降低至在3秒后降低至在5秒后降低至且在10秒后降低至ZrO2在5秒后降低至且在10秒后降低至HfO2在10秒后降低至在20秒后降低至在30秒后降低至且在60秒后降低至且TiO2在5秒后降低至且在10秒后降低至
图5显示随NbF5引入时间及温度而变化的这些蚀刻速率
表1显示随温度而变化的膜蚀刻速率
表1通过NbF5的氧化物蚀刻速率的汇总
a蚀刻速率提供为各时间段各温度下的蚀刻速率(ER)的平均值(例如,对于200℃及300℃,=[(30秒时的ER+60秒时的ER)/2];对于350℃,=[(5秒时的ER+10秒时的ER+20秒时的ER+30秒时的ER+60秒时的ER)/5];等)。
D在初始层被完全蚀刻后观察到膜的沉积
实例2:通过NbF5蚀刻氮化物层的测试
制备TiNTaN及SiN层。经由装载锁定腔室102将含有氮化物层的基板引入至图4的热反应器400中且置于加热至350℃、400℃或425℃的晶圆载物台101上。反应器400压力固定在5托至6托下。将NbF5置于加热至74℃的容器200中且以255sccm用Ar载体气体300将其蒸气引入至反应器400中。在5秒、10秒、15秒、30秒后使用扫描电子显微镜(SEM)测量TiN及TaN层的厚度。在30秒及90秒后使用椭圆偏振计测量SiN层的厚度。氮化物膜中的任一者的膜厚度未变化且在任何温度下未观察到蚀刻。表2显示随NbF5引入时间及温度而变化的膜蚀刻速率的汇总。
表2通过NbF5的氮化物蚀刻速率的汇总
a蚀刻速率提供为各时间段的蚀刻速率(ER)的平均值(即,对于TiN及TaN,=[(5秒时的ER+10秒时的ER+15秒时的ER+30秒时的ER)/4]或对于SiN,=[(30秒时的ER+90秒时的ER)/2])。
-未进行实验
实例3:通过VF5蚀刻氧化物和氮化物层的测试
经由装载锁定腔室102将Nb2O5、Ta2O5、ZrO2、HfO2、TiO2、Al2O3、SiO2、TiN和TaN基板引入至图4的热反应器400中且置于加热至150℃、200℃、300℃、350℃或400℃的晶圆载物台101上。
反应器400压力维持在5托至6托下。将VF5置于室温下的容器200中。在无任何载体气体的情况下,将蒸气连续引入至反应器400中。
图6显示随VF5引入时间及温度而变化的不同氧化物层的厚度。在150℃下引入总VF5 30秒及90秒后;在200℃下10秒及30秒后;在300℃下3秒、5秒、6秒、8秒及30秒后;在350℃下2秒、3秒、5秒、10秒及30秒后;以及在400℃下1秒、2秒、3秒、5秒、10秒及30秒后通过椭圆偏振计测量氧化物层的厚度。
表3显示随VF5引入时间及温度而变化的膜蚀刻速率的汇总。通过椭圆偏振计测量氧化物层及SiN且通过SEM观察TiN及TaN。
表3通过VF5的蚀刻速率的汇总
a蚀刻速率提供为各时间段各温度下的蚀刻速率(ER)的平均值(例如,在150℃下,=[(30秒时的ER+90秒时的ER)/2];在200℃下,=[(10秒时的ER+30秒时的ER)/2];等)。
-未进行实验
E蚀刻的
D在初始层被完全蚀刻后观察到膜的沉积
E/D观察到蚀刻及沉积
实例4:通过MoF6蚀刻氧化物和氮化物层的测试
经由装载锁定腔室102将Nb2O5、Ta2O5、ZrO2、HfO2、TiO2、Al2O3、SiO2、TiN、TaN和SiN基板引入至图4的热反应器400中且置于加热至150℃、200℃、300℃、350℃、400℃或425℃的晶圆载物台101上。
反应器400压力维持在5托至6托下。将MoF6置于室温下的容器200中。在无任何载体气体的情况下,将MoF6蒸气连续引入至反应器400中。
图7显示随MoF6引入时间及温度而变化的不同氧化物层的厚度。在150℃下引入总MoF6 10秒、20秒及30秒后;在200℃下1秒、3秒、10秒及30秒后;在300℃下1秒、3秒、10秒、20秒及30秒后;在350℃下1秒、3秒、5秒、10秒、20秒及30秒后;以及在400℃下1秒、2秒、10秒及30秒后通过椭圆偏振计测量氧化物层和SiN。通过SEM测量TiN及TaN。表4显示随MoF6引入时间及温度而变化的膜蚀刻速率的汇总。
表4通过MoF6的蚀刻速率的汇总
a蚀刻速率提供为各时间段各温度下的蚀刻速率(ER)的平均值(即,对于150℃,=[(10秒时的ER+20秒时的ER+30秒时的ER)/3];对于200℃,=[(1秒时的ER+3秒时的ER+10秒时的ER+30秒时的ER)/4];等)。
-未进行实验
E蚀刻的
E/D在初始层被完全蚀刻后观察到蚀刻及沉积
实例5:通过VF5通过脉冲模式蚀刻氧化物层的测试
经由装载锁定腔室102将ZrO2、Nb2O5、Ta2O5、HfO2和TiO2基板引入至图4的热反应器100中且置于加热至300℃、350℃或400℃的晶圆载物台101上。
反应器100压力维持在1托下。将VF5置于74℃温度下的容器200中。通过脉冲模式(1秒引入/5秒吹扫作为1次循环)将其蒸气引入至反应器100中。在5次、10次、20次、30次及60次循环后通过椭圆偏振计测量膜厚度且估算蚀刻速率。每一温度(℃)的蚀刻速率汇总在表5中:
表5通过VF5的蚀刻速率a的汇总
a蚀刻速率提供为各循环各温度下的蚀刻速率(ER)的平均值(即,对于300℃,=[(5次循环后的ER+10次循环后的ER+20次循环后的ER+30次循环后的ER+60次循环后的ER)/5];等)。
-未进行实验。
实例1-5的总结
如可见,在从150℃至425℃范围内的温度下自NbF5、VF5以及MoF6蚀刻剂出现很少至未出现Al2O3(○)及SiO2(╋)层的蚀刻。因此,当使用NbF5、VF5及MoF6作为蚀刻剂时,Al2O3及SiO2可用作蚀刻终止层或基板。
相比之下,Nb2O5(◆)、Ta2O5(□)及TiO2(Ж)层在超过300℃的温度下通过NbF5蚀刻且蚀刻量随温度增加而增加。因此,可取决于所需控制来调整NbF5蚀刻方法的温度。换言之,对于需要高精度的方法,可进行具有较低蚀刻速率(大约)的较低温方法(即,低于300℃)。对于不需要如此高的精确度的方法,诸如自腔室壁移除氧化物,温度可有所增加。
在300℃下出现很少至未出现ZrO2(▲)、HfO2(x)及TiO2(ж)的蚀刻。在350℃下,ZrO2被蚀刻最少且HfO2以小于被蚀刻。相比之下,在350℃下TiO2以将近被附接。因此,350℃提供了自HfO2和/或ZrO2基板选择性地蚀刻TiO2的良好温度。在400℃下HfO2的蚀刻保持小于然而,在400℃下TiO2及ZrO2二者均以大约被蚀刻。因此,400℃提供了自HfO2基板选择性地蚀刻TiO2和/或ZrO2的良好温度。
相比之下,通过使用VF5,TiN及TaN在较低温度(<200℃)下被蚀刻。通过使用MoF6,SiN自300℃被蚀刻。
本领域普通技术人员将认识到,温度及蚀刻速率可随反应器不同而改变。类似地,替代氟化反应物的反应性可自NbF5、VF5及MoF6蚀刻剂的那些而改变。然而,上述结果证明,所披露的反应物可用于自不同基板且以不同蚀刻速率选择性地蚀刻氧化物及氮化物层,使得其成为未来氧化物及氮化物移除方法,诸如CMOS栅极堆叠的优异的候选物。
实例6:经由氧化随后蚀刻进行金属层蚀刻
(金属氧化物形成)经由装载锁定腔室102将W金属基板引入至图4的热反应器400中且置于在350℃下加热的晶圆载物台101上。将300sccm的臭氧气体引入至反应器持续10分钟且氧化W晶圆的表面以形成大约120nm厚的氧化钨(WOx,x=1至4)层。如图9a中所示,通过扫描电子显微术,以及如图9b、图9c及图9d中所示,通过能量色散X射线(EDX)映射确认氧化钨形成。图9b为用于图9c及图9d的元素映射的EDX基底图像。图9c示出了W的强度:其中底层TiN/SiO2层中没有明显的W且上部WOx层中W浓度较低。基于W信号,图9c中TiN/Ti层与SiO2层之间的区分是不可能的。图9d示出了O的强度:其中O在WOx层及SiO2层中示出且W层及TiN/Ti层中没有氧。基于O信号,图9d中W层与TiN/Ti层之间的区分是不可能的。
(金属氧化物蚀刻)测量氧化钨厚度后,经由装载锁定腔室102将WOx基板重新引入至图4的热反应器400中且置于加热至300℃、350℃或400℃的晶圆载物台101上。本领域普通技术人员将认识到,出于测量目的移除基板且在正常处理期间将不需要自热反应器400移除基板。换言之,可自金属基板形成氧化物层且在不自腔室移除的情况下进行蚀刻。
反应器400压力维持在5托至7托下。将固体形式的NbF5置于74℃温度下的升华器容器200中。将其蒸气引入至反应器400中。在300℃下200秒后;在350℃下5秒、10秒及15秒后;以及在400℃下1秒、2秒及3秒后进行SEM测量。
图10至图12显示在不同温度下经受氧化物移除方法的金属膜的厚度演变。更具体地说,图10为在300℃下通过NbF5蚀刻200秒后残留的大约100nm厚的氧化钨层的SEM图像。图11a为在350℃下通过NbF5蚀刻5秒后残留的大约52nm厚的氧化钨层的SEM图像。图11b为在350℃下通过NbF5蚀刻10秒后残留的大约45nm厚的氧化钨层的SEM图像。图11c为在350℃下通过NbF5蚀刻15秒后残留的大约25nm厚的氧化钨层的SEM图像。图12a为在400℃下通过NbF5蚀刻1秒后残留的氧化钨层的SEM图像。图12b为在400℃下通过NbF5蚀刻2秒后残留的氧化钨层的SEM图像。图12c为在400℃下通过NbF5蚀刻氧化钨层3秒后残留的钨层的SEM图像,该蚀刻导致氧化钨层的完全移除。再一次,蚀刻速率随温度增加而增加。因此,可取决于所需控制来调整NbF5蚀刻方法的温度。换言之,对于需要高精度的方法,可进行具有较低蚀刻速率的较低温方法(即,低于300℃)。对于不需要如此高的精确度的方法,诸如自腔室壁移除氧化物,温度可有所增加。
尽管作为两步骤方法在研发设施中进行,但上述测试结果证明,可进行循环的金属氧化及金属氧化物蚀刻方法以移除较厚金属层(例如,具有大于200nm的厚度的金属层)。申请人相信,具有在从大约0.2nm至大约200nm范围内(包括端点)的厚度的金属氧化物层可在一个步骤中被氧化或氮化,其当然取决于金属及氧化/氮化条件。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。在此描述的实施例只是示例性的且是非限制性的。组合物和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于在此所描述的实施例,而仅受随后的权利要求书所限定,其范围应包括这些权利要求的主题的所有等效物。

Claims (14)

1.一种使用氟化反应物自基板移除层的方法,该方法包括以下步骤:(a)将该氟化反应物的蒸气引入至其中布置有基板的反应器中,该基板在其上具有层,并且(b)自该基板蚀刻该层的至少一部分,该氟化反应物具有式MFx,其中x在从2至6范围内,包括端点,且M为选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Nb、Mo及W。
2.如权利要求2所述的方法,其中该氟化反应物选自由以下各项组成的组:VF5、NbF5、MoF6、WF6、NbF5(SEt)、以及NbF4(SEt)2
3.如权利要求1所述的方法,其中该基板为硅晶圆、氧化硅、不锈钢、氮化铝或氧化铝。
4.如权利要求1至3中任一项所述的方法,其中该层为金属层,该方法进一步包括(c)在步骤(a)引入该氟化反应物的蒸气之前氧化或氮化该金属层。
5.如权利要求4所述的方法,其中该金属层选自由以下各项组成的组:钨层、钼层、钽层、铌层、钒层、铪层、锆层、钛层及其组合。
6.如权利要求4所述的方法,进一步包括重复步骤(c)、(a)及(b)。
7.如权利要求1至3中任一项所述的方法,其中该基板为氧化硅、氧化铝、或硅晶圆,且该层选自由以下各项组成的组:氧化钛、氧化锆、氧化铪、氧化钒、氧化铌、氧化钽、氧化钼、氧化钨及其组合。
8.一种用于通过以下方式自基板选择性蚀刻层的干式蚀刻方法:使氟化反应物的蒸气与该层反应以形成挥发性氟化物种,该氟化反应物具有式MFx,其中x在从2至6范围内,包括端点,且M为选自由以下各项组成的组的元素:Ti、Zr、Hf、V、Nb、Mo及W。
9.如权利要求8所述的方法,其中该氟化反应物选自由以下各项组成的组:VF5、NbF5、MoF6、WF6、NbF5(SEt)、以及NbF4(SEt)2
10.如权利要求8所述的方法,其中该基板为不锈钢、氧化硅、氧化铝、硅晶圆或氮化铝。
11.如权利要求8至10中任一项所述的方法,其中该层为金属层,该方法进一步包括在使该氟化反应物的蒸气与该层反应之前氧化或氮化该金属层。
12.如权利要求11所述的方法,其中该金属层选自由以下各项组成的组:钨层、钼层、钽层、铌层、钒层、铪层、锆层、钛层及其组合。
13.如权利要求11所述的方法,进一步包括重复这些氧化或氮化及反应步骤。
14.如权利要求8至10中任一项所述的方法,其中该基板为氧化硅、氧化铝、或硅晶圆,且该层选自由以下各项组成的组:氧化钛、氧化锆、氧化铪、氧化钒、氧化铌、氧化钽、氧化钼、氧化钨及其组合。
CN201680062594.6A 2015-11-10 2016-09-01 蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法 Active CN108352316B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562253507P 2015-11-10 2015-11-10
US62/253,507 2015-11-10
PCT/US2016/049857 WO2016172740A2 (en) 2015-11-10 2016-09-01 Etching reactants and plasma-free oxide etching processes using the same

Publications (2)

Publication Number Publication Date
CN108352316A true CN108352316A (zh) 2018-07-31
CN108352316B CN108352316B (zh) 2023-03-24

Family

ID=57143624

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680062594.6A Active CN108352316B (zh) 2015-11-10 2016-09-01 蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法

Country Status (7)

Country Link
US (1) US10648087B2 (zh)
EP (1) EP3375008B1 (zh)
JP (1) JP6960400B2 (zh)
KR (1) KR102652512B1 (zh)
CN (1) CN108352316B (zh)
TW (1) TWI714640B (zh)
WO (1) WO2016172740A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109801844A (zh) * 2019-02-03 2019-05-24 南通大学 一种金属刻槽方法
JP2021522685A (ja) * 2018-05-01 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 選択的エッチングプロセスの選択性を高める方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
TW201833991A (zh) * 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
JP7062658B2 (ja) * 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
CN110678981B (zh) * 2017-05-31 2023-05-23 应用材料公司 3d-nand器件中用于字线分离的方法
US11107919B2 (en) 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
SG11202005303XA (en) 2017-12-14 2020-07-29 Applied Materials Inc Methods of etching metal oxides with less etch residue
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
WO2019241060A1 (en) * 2018-06-13 2019-12-19 Lam Research Corporation Efficient cleaning and etching of high aspect ratio structures
US10515821B1 (en) * 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US11682560B2 (en) * 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10741407B2 (en) 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
EP3971322A4 (en) * 2019-05-15 2022-07-27 Showa Denko K.K. METAL REMOVAL METHOD, DRY ETCHING METHOD AND SEMICONDUCTOR ELEMENT PRODUCTION METHOD
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US20210066064A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Methods and apparatus for cleaning metal contacts
US11424134B2 (en) * 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
KR20220109437A (ko) * 2019-12-09 2022-08-04 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN114981481A (zh) 2020-01-06 2022-08-30 中央硝子株式会社 金属材料、金属材料的制造方法、半导体处理装置的钝化方法、半导体器件的制造方法及已填充的容器的制造方法
CN115428130A (zh) * 2020-02-03 2022-12-02 东京毅力科创株式会社 在选择性原子层蚀刻中使用超薄蚀刻停止层的方法
TW202145344A (zh) * 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20220079646A (ko) * 2020-05-29 2022-06-13 쇼와 덴코 가부시키가이샤 드라이 에칭 방법, 반도체 소자의 제조 방법, 및 클리닝 방법
WO2022072160A2 (en) * 2020-09-18 2022-04-07 Lam Research Corporation Passivation chemistry for plasma etching
US20220102163A1 (en) * 2020-09-29 2022-03-31 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
WO2023157441A1 (ja) * 2022-02-16 2023-08-24 株式会社レゾナック エッチング方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0393223A (ja) * 1989-09-06 1991-04-18 Hitachi Ltd 半導体装置の製造方法
US6261934B1 (en) * 1998-03-31 2001-07-17 Texas Instruments Incorporated Dry etch process for small-geometry metal gates over thin gate dielectric
TW200509740A (en) * 2003-07-22 2005-03-01 Du Pont Process for removing an organic layer during fabrication of an organic electronic device and the organic electronic device formed by the process
JP2007500919A (ja) * 2003-07-22 2007-01-18 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス製造の際の有機層の除去方法およびその方法によって形成される有機電子デバイス
JP2009043973A (ja) * 2007-08-09 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体
CN103430288A (zh) * 2011-03-14 2013-12-04 应用材料公司 用于金属及金属氧化物膜的蚀刻的方法
US20150050807A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343676A (en) 1981-03-26 1982-08-10 Rca Corporation Etching a semiconductor material and automatically stopping same
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
JP2882339B2 (ja) 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
DE19713090B4 (de) 1996-03-28 2004-06-17 Kabushiki Kaisha Toshiba, Kawasaki Verfahren und Apparatur zum Ätzen von Silicium-Materialien
JP3986597B2 (ja) 1996-10-15 2007-10-03 関東電化工業株式会社 三フッ化窒素含有ガスの処理方法及びそのための処理剤
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6284052B2 (en) 1998-08-19 2001-09-04 Sharp Laboratories Of America, Inc. In-situ method of cleaning a metal-organic chemical vapor deposition chamber
US20050082002A1 (en) 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
JP5041696B2 (ja) 2005-11-15 2012-10-03 パナソニック株式会社 ドライエッチング方法
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0393223A (ja) * 1989-09-06 1991-04-18 Hitachi Ltd 半導体装置の製造方法
US6261934B1 (en) * 1998-03-31 2001-07-17 Texas Instruments Incorporated Dry etch process for small-geometry metal gates over thin gate dielectric
TW200509740A (en) * 2003-07-22 2005-03-01 Du Pont Process for removing an organic layer during fabrication of an organic electronic device and the organic electronic device formed by the process
JP2007500919A (ja) * 2003-07-22 2007-01-18 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス製造の際の有機層の除去方法およびその方法によって形成される有機電子デバイス
JP2009043973A (ja) * 2007-08-09 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体
CN103430288A (zh) * 2011-03-14 2013-12-04 应用材料公司 用于金属及金属氧化物膜的蚀刻的方法
US20150050807A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021522685A (ja) * 2018-05-01 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 選択的エッチングプロセスの選択性を高める方法
JP7144532B2 (ja) 2018-05-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド 選択的エッチングプロセスの選択性を高める方法
CN109801844A (zh) * 2019-02-03 2019-05-24 南通大学 一种金属刻槽方法

Also Published As

Publication number Publication date
US10648087B2 (en) 2020-05-12
WO2016172740A3 (en) 2017-01-19
KR102652512B1 (ko) 2024-03-28
JP2019502253A (ja) 2019-01-24
TW201718942A (zh) 2017-06-01
WO2016172740A2 (en) 2016-10-27
TWI714640B (zh) 2021-01-01
EP3375008A4 (en) 2019-07-10
EP3375008A2 (en) 2018-09-19
KR20180067667A (ko) 2018-06-20
US20180327913A1 (en) 2018-11-15
JP6960400B2 (ja) 2021-11-05
EP3375008B1 (en) 2020-05-20
CN108352316B (zh) 2023-03-24

Similar Documents

Publication Publication Date Title
CN108352316A (zh) 蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法
CN111512420B (zh) 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻
CN101048853B (zh) 用于膜形成的前驱体和用于形成含钌膜的方法
US8563085B2 (en) Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
WO2018170126A1 (en) New formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
TWI276700B (en) Atomic layer deposition of nanolaminate film
WO2011106072A2 (en) Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
CN104379807A (zh) 原子层沉积
US20100047988A1 (en) Methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
CN101208456A (zh) 包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法
Kim et al. Growth characteristics and film properties of cerium dioxide prepared by plasma-enhanced atomic layer deposition
US20220208517A1 (en) Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
EP3596250A1 (en) New formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
CN110073474A (zh) 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
US20230089523A1 (en) Inherently ferroelectric hf-zr containing films
TWI420006B (zh) 結晶取向之五氧化二鉭及其製造方法
US11631580B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US20110045183A1 (en) Methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
US20230323530A1 (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
Selvaraj et al. Effect of using ethanol as the oxygen source on the growth and dielectric behavior of atomic layer deposited hafnium oxide
Min et al. Amorphous High k Dielectric Bi1− x− y Ti x Si y O z Thin Films by ALD
WO2022015850A1 (en) Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories
WO2021050452A1 (en) Formulation for deposition of silicon doped hafnium oxide
Xu et al. Chemical vapor deposition (CVD) of iridium and platinum films and gas-phase chemical etching of iridium thin films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant