CN107924140B - 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置 - Google Patents

测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置 Download PDF

Info

Publication number
CN107924140B
CN107924140B CN201680049910.6A CN201680049910A CN107924140B CN 107924140 B CN107924140 B CN 107924140B CN 201680049910 A CN201680049910 A CN 201680049910A CN 107924140 B CN107924140 B CN 107924140B
Authority
CN
China
Prior art keywords
target structure
target
lithographic
substrate
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680049910.6A
Other languages
English (en)
Other versions
CN107924140A (zh
Inventor
M·范德沙
张幼平
H·J·H·斯米尔德
A·蔡亚马斯
A·J·范李斯特
A·弗玛
T·希尤维斯
H·A·J·克拉默
P·C·欣南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN107924140A publication Critical patent/CN107924140A/zh
Application granted granted Critical
Publication of CN107924140B publication Critical patent/CN107924140B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Abstract

衬底具有通过光刻工艺形成在其上的第一目标结构和第二目标结构,光刻工艺包括至少两个光刻步骤。每个目标结构具有形成在单个材料层中的二维周期性结构,其中在第一目标结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征移位第一偏差量,并且在第二目标结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征移位第二偏差量。获取第一目标结构的角分辨散射光谱和第二目标结构的角分辨散射光谱,并且从使用在第一目标结构和第二目标结构的散射光谱中发现的不对称的测量来得出光刻工艺的参数的测量。

Description

测量光刻工艺参数的方法和设备、衬底以及该方法中使用的 图案化装置
相关申请的交叉引用
本申请要求于2015年8月27日提交的美国申请62/210,938和于2016年3月1日提交的美国申请62/301,880的优先权,其通过引用整体并入本文。
技术领域
本发明涉及使用光刻技术制造诸如半导体器件等产品的方法。
背景技术
光刻设备是将期望的图案施加到衬底上、通常是到衬底的目标部分上的机器。光刻设备可以用于例如在集成电路(IC)的制造中使用。在这种情况下,可以使用替代地被称为掩模或掩模版的图案化装置来生成待形成在IC的单独层上的电路图案。这个图案可以被转移到衬底(例如,硅晶片)上的目标部分(例如,包括一个或多个裸片的部分)上。每个具有特定图案和材料组成的多个层被应用以限定成品的功能器件和互连。
当前和下一代生成工艺通常依赖于所谓的多重图案化技术来产生具有远小于可以由光刻设备直接印刷的尺寸的器件特征。多个图案化步骤(每个图案化步骤具有其自己的掩模或掩模版)被执行以在衬底上的单层中限定期望的器件图案。已知很多不同的多重图案化的示例。在一些工艺中,规则的栅格结构被形成作为用于期望的器件图案的基础。然后使用电路特定的掩模图案,在特定位置处对形成栅格结构的线进行切割,以将线分成单独的区段。栅格结构的尺寸可以特别精细,其中节距为几十纳米甚至十几纳米。
在光刻工艺中,经常期望对所产生的结构进行测量,例如用于工艺控制和验证。已知用于进行这样的测量的各种工具,包括通常用于测量临界尺寸(CD)的扫描电子显微镜和用于测量套刻(overlay)(衬底的两个层对准精度)的专用工具。所制造的器件的最终性能主要取决于切割掩模相对于栅格结构的定位和尺寸的准确性。(在这种情况下,切割掩模是限定栅格结构被修改以形成功能电路的电路特定位置的掩模。)套刻误差可能引起切割或其他修改在错误的地方发生。尺寸(CD)误差可能引起切割太大或太小(在极端情况下,错误地切割相邻的栅格线或无法完全切割预期的栅格线)。
也可能对光刻工艺的其他性能参数感兴趣,例如在光学光刻中,也可能需要测量焦点的参数和曝光剂量。
然而,现代产品结构的尺寸太小以至于不能通过光学计量技术来成像。小特征包括例如由多重图案化工艺形成的那些特征以及节距倍增。(这些术语在下面进一步解释。)实际上,这些结构对于不能“看见”它们的传统的计量技术而言太小。因此,用于大批量计量的目标通常使用比套刻误差或关键尺寸是感兴趣性质的产品大得多的特征。
尽管扫描电子显微镜能够解决现代产品结构,但是使用扫描电子显微镜执行的测量比光学测量更费时且更昂贵。
发明内容
本发明人已经认识到,可以通过使用由这些结构散射的零阶光来对具有与产品结构类似的尺寸和处理的结构执行计量测量。
在本发明的第一方面中,提供了一种测量光刻工艺的参数的方法,光刻工艺用于使用两个或更多个光刻步骤在单个材料层中形成二维周期性产品结构,该方法包括:
提供第一目标结构和第二目标结构,每个目标结构包括使用第一光刻步骤和第二光刻步骤在衬底上的单个材料层中形成的二维周期性结构,其中在第一目标结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征移位第一偏差量,第一偏差量接近于在第一光刻步骤中形成的特征的空间周期的一半,并且在第二目标结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征移位第二偏差量,第二偏差量接近于所述空间周期的一半并且不同于第一偏差量;
获取第一目标结构的角分辨散射光谱和第二目标结构的角分辨散射光谱;以及
使用在第一目标结构的散射光谱中发现的不对称和在第二目标结构的散射光谱中发现的不对称来得出所述参数的测量。
在一些实施例中,获取每个目标结构的角分辨散射光谱包括:
利用辐射来照射目标结构;以及
使用由目标结构散射的零阶辐射来检测角分辨散射光谱。
每个目标结构的空间周期显著短于用于照射目标结构的辐射的波长。
该方法还可以包括从一定范围的可用波长中选择辐射的波长,以便优化目标结构的角分辨散射光谱中的不对称的强度和线性度。
在一些实施例中,得出所述参数的步骤包括使用在第一目标结构的散射光谱中发现的不对称、在第二目标结构的散射光谱中发现的不对称以及第一偏差量和第二偏差量的知识来计算与所述产品结构有关的套刻误差的测量。
在第一光刻步骤中限定的目标结构的特征可以包括在第一方向上限定所述空间周期的栅格结构,并且在第二光刻步骤中限定的所述目标结构的特征可以包括在以二维周期性布置周期性间隔的位置处的栅格结构的修改。
在第一光刻步骤中限定的所述目标结构的特征进一步可以包括在第一方向上限定所述空间周期的栅格结构,并且在第二光刻步骤中限定的所述目标结构的特征进一步可以包括在栅格结构的元件中的切口。
在一些实施例中,第一目标结构和第二目标结构可以在第一光刻步骤和第二光刻步骤已被用于限定它们的特征之后通过蚀刻和/或沉积工艺来形成。
在一些实施例中,产品结构可以使用所述第一光刻步骤和所述第二光刻步骤形成在同一衬底上的同一材料层中的别处,其中在产品结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征没有移位任何偏差量。
本发明进一步提供了一种用于在测量光刻工艺的参数时使用的衬底,该衬底包括第一目标结构和第二目标结构,每个目标结构包括使用所述第一光刻步骤和第二光刻步骤形成在单个材料层中的二维周期性结构,其中
在第一目标结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征移位第一偏差量,第一偏差量接近于在第一光刻步骤中形成的特征的空间周期的一半,以及
在第二目标结构中,在第二光刻步骤中限定的特征相对于在第一光刻步骤中限定的特征移位第二偏差量,第二偏差量接近于所述空间周期的一半并且不同于第一偏差量。
本发明还进一步提供了一种用于在如上所述的根据本发明的方法中使用的计量设备。
在一些实施例中,计量设备可以包括:
用于衬底的支撑件,在衬底上已形成有第一目标结构和第二目标结构;
光学系统,用于利用辐射选择性地照射每个目标结构并且收集由目标结构散射的至少零阶辐射;
检测器,用于使用所述零阶辐射来检测每个目标结构的角分辨散射光谱;以及
处理器,被布置为使用第一目标结构的角分辨散射光谱的不对称和第二目标结构的角分辨散射光谱的不对称来得出光刻工艺的参数。
本发明还进一步提供了一种光刻系统,包括:
光刻设备,用于在光刻工艺中使用;以及
如上所述的根据本发明的计量设备,用于在使用至少部分地使用光刻设备形成的第一目标结构和第二目标结构来测量光刻工艺的参数时使用。
本发明还进一步提供了一种包括机器可读指令的计算机程序产品,机器可读指令当在合适的处理器上运行时引起处理器执行如上所述的根据本发明的方法的得出步骤。
本发明还进一步提供了一种方法,用于确定已经在其上形成有产品结构的衬底上的套刻误差,产品结构包括已经由第一光刻工艺限定的第一产品特征和已经由第二光刻工艺限定的第二产品特征,套刻误差包括第一产品特征与第二产品特征之间的位置偏差,该方法包括:
在衬底上提供第一目标结构,第一目标结构包括由第一光刻工艺限定的第一目标特征和由第二光刻步骤限定的第二目标特征,第一目标特征与第二目标特征之间的位置关系取决于第一偏差值和套刻误差;以及
在衬底上提供第二目标结构,第二目标结构包括由第一光刻工艺限定的第三目标特征和由第二光刻步骤限定的第四目标特征,第三目标特征与第四目标特征之间的位置关系取决于第二偏差值和套刻误差;
使用从第一目标结构衍射的零阶辐射来检测第一角分辨散射光谱;
使用从第二目标结构衍射的零阶辐射来检测第二角分辨散射光谱;
基于在第一角分辨散射光谱和第二角分辨散射光谱中观察到的不对称以及基于第一偏差值和第二偏差值的知识来计算套刻误差的测量。
下面参考附图详细描述本发明的其他方面、特征和优点以及本发明的各种实施例的结构和操作。应当注意,本发明不限于本文中描述的具体实施例。这样的实施例在本文中被呈现仅用于说明的目的。基于本文中包含的教导,其他实施例对于相关领域的技术人员而言将是显而易见的。
附图说明
现在将参考附图通过举例的方式描述本发明的实施例,附图中:
图1描绘了光刻设备以及形成用于半导体器件的生产设施的其他设备;
图2描绘了根据本发明的实施例的被配置为捕获角分辨散射光谱的散射仪;
图3示出了根据本发明的第一实施例的目标结构;
图4示意性地示出了在图3的目标结构的形成中用于向衬底施加图案的一组图案化装置的部分;
图5(a)至图5(c)示意性地示出了已知的多重图案化工艺中的阶段;
图6(a)至图6(c)示出了根据本发明的实施例的在多重图案化工艺中形成第一目标结构和第二目标结构的阶段;
图7是根据本发明的实施例的用于测量光刻工艺的参数的方法的流程图;
图8示意性地示出了测量套刻的传统方法的原理;
图9示出了针对从示例目标结构散射的不同波长的辐射的不对称与套刻的模拟变化;以及
图10示出了具有不同套刻值的示例目标结构的散射光谱中的不对称的模拟光瞳图像。
具体实施方式
在详细描述本发明的实施例之前,呈现可以实现本发明的实施例的示例环境是有益的。
图1在200处示出了作为实施大容量光刻制造工艺的工业生产设施的部分的光刻设备LA。在本示例中,制造工艺被适配用于在诸如半导体晶片等衬底上制造半导体产品(集成电路)。本领域技术人员将认识到,可以通过在这个过程的变体中处理不同类型的衬底来制造各种各样的产品。半导体产品的生产纯粹是作为当今具有很大商业意义的示例。
在光刻设备(或简称为“光刻工具”200)内,在202处示出了测量站MEA,并且在204处示出了曝光站EXP。在206处示出了控制单元LACU。在这个示例中,每个衬底访问测量站和曝光站以便被应用图案。在光学光刻设备中,例如,使用投影系统来使用经调节的辐射和投影系统将来自图案化装置MA的产品图案转移到衬底上。这是通过在辐射敏感抗蚀剂材料层中形成图案的图像来完成的。
针对所使用的曝光辐射或者针对诸如浸没液体的使用或真空的使用等其他因素,视情况而定,本文中使用的术语“投影系统”应当被广义地解释为包括任何类型的投影系统,包括折射、反射、反射折射、磁性、电磁和静电光学系统或其任何组合。图案化装置MA可以是掩模或掩模版,其将向由图案化装置透射或反射的辐射束赋予图案。众所周知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以各种方式与用于衬底和图案化装置的支撑和定位系统协作,以向衬底上的很多目标部分施加期望的图案。可以使用可编程图案化装置来代替具有固定图案的掩模版。例如,辐射可以包括深紫外(DUV)或极紫外(EUV)波段中的电磁辐射。本公开还适用于其他类型的光刻工艺,例如压印光刻和直写光刻,例如通过电子束。
光刻设备控制单元LACU控制各种致动器和传感器的所有移动和测量以容纳衬底W和掩模版MA并且实现图案化操作。LACU还包括信号处理和数据处理能力,以实现与设备的操作相关的期望的计算。在实践中,控制单元LACU将被实现为多个子单元的系统,每个子单元对设备内的子系统或部件的实时数据采集、处理和控制进行处理。
在曝光站EXP处向衬底施加图案之前,在测量站MEA中处理衬底,使得可以执行各种预备步骤。预备步骤可以包括使用水平传感器映射衬底的表面高度以及使用对准传感器测量衬底上的对准标记的位置。对准标记名义上布置为规则的栅格图案。然而,由于在创建标记时的不准确性以及还由于整个处理过程中发生的衬底的变形,标记偏离理想栅格。因此,除了测量衬底的位置和取向之外,如果设备要以非常高的精度在正确的位置印刷产品特征,则实际上对准传感器必须详细地测量衬底区域上的很多标记的位置。该设备可以是所谓的双台型,其具有两个衬底台,每个衬底台具有由控制单元LACU控制的定位系统。当一个衬底台上的一个衬底曝光在曝光站EXP处时,另一衬底可以被装载到测量站MEA处的另一衬底台上,使得可以执行各种预备步骤。因此,对准标记的测量是非常耗时的,并且提供两个衬底台能够显著增加设备的生产量。如果位置传感器IF当在测量站以及曝光站处时不能够测量衬底台的位置,则可以设置第二位置传感器以使得衬底台的位置能够在两个站处被跟踪。例如,光刻设备LA可以是所谓的双台型,其具有两个衬底台和两个站——曝光站和测量站,衬底台在这两个站之间可以交换。
在生产设施内,设备200形成“光刻单元”或“光刻簇”的部分,其还包含涂覆设备208,用于向衬底W施加光致抗蚀剂和其他涂层,以由设备200进行图案化。在设备200的输出侧,设置有烘烤设备210和显影设备212,用于将经曝光的图案显影成物理抗蚀剂图案。在所有这些设备之间,衬底处理系统负责支撑衬底并且将它们从一个设备转移到下一设备。通常统称为轨道的这些设备处于轨道控制单元的控制之下,轨道控制单元本身由监督控制系统SCS控制,监督控制系统SCS也经由光刻设备控制单元LACU来控制光刻设备。因此,可以操作不同的设备以使生产量和处理效率最大化。监督控制系统SCS接收配方信息R,配方信息R详细提供要执行以产生每个图案化的衬底的步骤的限定。
一旦图案已经在光刻单元中被施加和显影,经图案化的衬底220被转移到诸如222、224、226所示的其他处理设备。各种工艺步骤由典型的生产设施中的各种设备来实现。为了举例,本实施例中的设备222是蚀刻台,并且设备224执行蚀刻后退火步骤。另外的物理和/或化学工艺步骤被应用于其他设备226等中。可能需要很多类型的操作来制造真实的器件,诸如材料的沉积、表面材料特性的修改(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等。实际上,设备226可以表示在一个或多个设备中执行的一系列不同的工艺步骤。作为另一示例,可以提供用于实现自对准多重图案化的设备和工艺步骤,以基于由光刻设备放置的前体图案来产生多个较小的特征。
众所周知,半导体器件的制造涉及这样的处理的很多重复,以在衬底上逐层地形成具有适当材料和图案的器件结构。因此,到达光刻簇的衬底230可以是新制备的衬底,或者它们可以是先前在这个簇中或在另一设备中已经被完全处理的衬底。类似地,取决于所需要的处理,离开设备226的衬底232可以被返回以用于在同一光刻簇中的后续图案化操作,它们可以被指定用于不同簇中的图案化操作,或者它们可以是完成的产品以被发送用于切割和封装。
产品结构的每个层需要不同的一组工艺步骤,并且在每个层使用的设备226可以是完全不同的类型。此外,即使在要由设备226应用的工艺步骤在名义上相同的情况下,在大型设施中,也可以有若干假定的相同的机器并行工作以在不同的衬底上执行步骤226。这些机器之间设置或故障的小的差异可能表示它们以不同的方式影响不同的衬底。甚至可以通过若干名义上相同但是并行工作以使生产量最大化的蚀刻设备来实现对每个层相对共同的步骤,诸如蚀刻(设备222)。而且,在实践中,根据待蚀刻的材料的细节以及特殊要求,诸如例如各向异性蚀刻,不同的层需要不同的蚀刻工艺,例如化学蚀刻、等离子体蚀刻。
如上所述,先前的和/或后续的处理可以在其他光刻设备中执行,并且甚至可以在不同类型的光刻设备中执行。例如,器件制造过程中对诸如分辨率和套刻等参数要求非常高的一些层可以在与其他要求不太高的层相比更先进的光刻工具中执行。因此,一些层可以被曝光在沉浸式光刻工具中,而另一些层被曝光在“干”工具中。一些层可以被曝光在工作于DUV波长的工具中,而另一些层则使用EUV波长辐射被曝光。
为了使得由光刻设备曝光的衬底正确且一致地曝光,期望检测经曝光的衬底以测量诸如后续层之间的套刻误差、线厚度、临界尺寸(CD)等性质。因此,光刻单元LC所在的制造设施还包括计量系统,计量系统容纳已经在光刻单元中处理的一些或全部衬底W。计量结果直接或间接提供给监督控制系统SCS。如果检测到错误,则可以对后续衬底的曝光进行调节,特别是在计量能够立刻完成并且足够快以使得同一批次的其他衬底仍然要被曝光的情况下。而且,已经曝光的衬底可以被剥离和返工以提高产量,或者被丢弃,由此避免对已知有缺陷的衬底执行进一步的处理。在只有衬底的一些目标部分发生故障的情况下,可以仅对那些良好的目标部分执行进一步的曝光。
图1中还示出了计量设备240,计量设备240被设置用于在制造过程的期望阶段对产品的参数进行测量。现代光刻生产设施中的计量站的常见示例是散射仪,例如角分辨散射仪或光谱散射仪,并且其可以被应用于在设备222中的刻蚀之前测量220处的经显影的衬底的性质。使用计量设备240,例如,可以确定诸如套刻或临界尺寸(CD)等重要性能参数不符合所显影的抗蚀剂中的特定的精度要求。在蚀刻步骤之前,有机会剥离经显影的抗蚀剂并且通过光刻簇重新处理衬底220。通过监督控制系统SCS和/或控制单元LACU 206随时间进行小的调节,来自设备240的计量结果242可以用于保持在光刻簇中的图案化操作的准确性能,从而使产品超出规范并且需要返工的风险最小化。
另外,计量设备240和/或其他计量设备(未示出)可以被应用来测量经处理的衬底232、234和进来的衬底230的性质。计量设备可以用在经处理的衬底上以确定重要的参数,诸如套刻或CD。根据本公开的实施例,计量设备用于测量具有与使用一个或多个光刻步骤、蚀刻和在光刻曝光之后的其他工艺而形成的功能产品结构相同的材料和尺寸的结构的性质。
图2示出了可以在本公开的实施例中用作计量设备的已知的角分辨散射仪的基本元件。在这种类型的计量设备中,由辐射源11发射的辐射由照射系统12调节。例如,照射系统12可以包括准直使用透镜系统12a、滤色器12b、偏振器12c和光圈器件13。经调节的辐射遵循照射路径IP,其中它被反射表面15部分地反射并且经由显微镜物镜16聚焦到衬底W上的点S。可以在衬底W上形成计量目标T。透镜16具有高的数值孔径(NA),例如至少0.9或至少0.95。如果需要,可以使用沉浸流体来获取大于1的数值孔径。
如在光刻设备LA中,可以提供一个或多个衬底台以在测量期间保持衬底W。粗定位器和精定位器可以被配置为相对于测量光学系统准确地定位衬底。提供各种传感器和致动器,例如以获取感兴趣的目标的位置,并且将其置于物镜16下方的位置。通常,将在衬底W上的不同位置处的目标上进行很多测量。衬底支撑件可以在X和/或Y方向上移动以获取不同的目标,并且在Z方向上移动以获取光学系统在目标上的期望聚焦。当实际上光学系统可以保持实质上静止并且仅衬底移动时,便利的是,考虑和描述操作就好像物镜和光学系统被带到衬底上的不同位置。在其他设备中,一个方向上的相对移动通过衬底的物理移动来实现,而正交方向上的相对移动通过光学系统的物理移动来实现。假如衬底和光学系统的相对位置是正确的,则它们中的一个或两个在现实世界中是否移动原则上并不重要。
当辐射束在分束器16上入射时,其部分传输通过分束器(部分地反射表面15)并且遵循朝向参考反射镜14的参考路径RP。
由衬底反射的辐射(包括由任何计量目标T衍射的辐射)由透镜16收集,并且遵循收集路径CP,在收集路径CP中,辐射部分地通过反射表面15进入检测器19。检测器可以位于背投影光瞳面P中,背投影光瞳平面P位于透镜16的焦距F处。实际上,光瞳面本身可能是不可接近的,并且也可以利用辅助光学器件(未示出)重新成像到位于所谓的共轭光瞳面P'中的检测器上。检测器可以是二维检测器,使得可以测量衬底目标30的二维角散射光谱或衍射光谱。在光瞳面或共轭光瞳面中,辐射的径向位置限定了聚焦光斑S的平面中的辐射的入射角/离开角,并且围绕光轴O的角位置限定了辐射的方位角。检测器19可以是例如CCD或CMOS传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。
参考路径RP中的辐射被投影到同一检测器19的不同部分上,或者替代地,被投影到不同的检测器(未示出)上。参考光束通常用于例如测量入射辐射的强度,以允许在散射光谱中测量的强度值的归一化。
照射系统12的各种部件可以是可调节的,以在同一设备内实现不同的计量“配方”。滤色器12b可以例如通过一组干涉滤光器来实现,以选择在例如405-790nm或甚至更低的诸如200-300nm范围内的感兴趣的不同波长。干涉滤光器可以是可调谐的而不是包括一组不同的滤光器。可以使用光栅来代替干涉滤光器。偏光器12c可以是可旋转的或可交换的,以便在辐射光斑S中实现不同的偏振状态。可以调节光圈器件13以实现不同的照射轮廓。光圈器件13位于与物镜16的光瞳面P和检测器19的平面共轭的平面P”中。以这种方式,由光圈器件限定的照射轮廓限定了通过光圈器件13上的不同位置入射在衬底上的光的角度分布。
检测器19可以测量单个波长(或窄波长范围)处的散射光的强度,或者可以在多个波长处分别测量强度,或者在波长范围内积分。此外,检测器可以分别测量横向磁偏振光和横向电偏振光的强度、和/或横向磁偏振光和横向电偏振光之间的相位差。
在图2中示意性地示出的已知的角分辨散射仪中,在衬底W上设置有计量目标T。为了测量,这个目标可以包括一维光栅,一维光栅被印刷,使得在显影之后,其是固体抗蚀剂线的阵列。替代地,目标可以是二维光栅,二维光栅被印刷,使得在显影之后,光栅由抗蚀剂中的固体抗蚀剂柱或过孔(接触孔)形成。替代地,可以向衬底中蚀刻条、柱或过孔。诸如线宽和形状等参数的测量可以通过由处理单元PU执行的迭代重建处理根据印刷步骤和/或其他散射测量处理的知识来获取。
除了通过重建来测量参数之外,角分辨散射测量可用于测量产品和/或抗蚀剂图案中的特征的不对称。不对称测量的特定应用是用于套刻的测量,其中目标包括叠加在另一组周期性特征上的一组周期性特征。例如,在上面引用的公开的专利申请US2006066855A1中描述了使用图2的仪器的不对称测量的概念。简而言之,尽管周期性目标的衍射光谱中较高衍射阶(一阶及以上)的位置仅由目标的周期性确定,但是衍射光谱中的强度水平的不对称指示构成目标的各个特征中的不对称。在图2的仪器中,在检测器19可以是图像传感器的情况下,较高衍射阶中的这样的不对称直接表现为由检测器19记录的光瞳图像中的不对称。这种不对称可以通过单元PU中的数字图像处理来测量,并且根据已知的套刻值来校准。
然而,对于具有比照射辐射的波长小很多倍的特征的非常精细的产品结构,高阶衍射信号不被光学系统的收集路径CP捕获。因此,传统的基于衍射的套刻测量的方法不能够揭示可能引起由现代多重图案化工艺形成的非常精细的产品结构中的性能问题的套刻误差的类型。
图3示出了根据本公开的实施例的形成在衬底W上的测量目标30。测量目标包括第一目标结构31和第二目标结构32。下面将参考图6更详细地描述这些目标结构的示例。第一目标结构和第二目标结构二者都由尺寸与那些产品特征的尺寸相似的特征组成。第一目标结构和第二目标结构可以与形成在同一衬底上的产品特征形成在同一材料层中,并且可以通过与产品特征相同的工艺形成。例如,第一目标结构和第二目标结构可以通过多个图案化步骤形成在单个层中。在另一示例中,第一目标结构和第二目标结构通过与衬底上的产品结构相同的蚀刻步骤形成。这样的产品结构可以形成在同一衬底上的其他地方,或者可以是专用于仅携带计量目标的衬底。就这一点而言,这个示例中的衬底W可以是图1所示的工艺中的衬底232或234中的一个,而不是尚未被蚀刻的衬底220中的一个。
在这个示例中,第一目标结构和第二目标结构二者都是二维结构,在沿着X方向或Y方向中的一个或两个方向的至少一些特征中具有周期性。无论整个结构的周期性如何,结构内的特征以第一方向(例如,X方向)布置,其中节距(空间周期)类似于要通过所讨论的光刻工艺形成的产品特征的节距(空间周期)。每个目标结构作为整体可以在一个或多个方向上是周期性的。
无论整个结构的周期性如何,在具有沿着X方向和Y方向二者都变化的特征的意义上,它是二维结构。相比之下,“一维”光栅结构可以在衬底的区域上方以二维方式延伸,但是(至少在计量设备的照射光斑S内)仅在一个方向上变化。换言之,可以解释本公开中对二维结构的引用,使得每个目标结构包括在互补傅立叶空间中在kx和ky方向(k是波数)二者上都具有非零分量的特征。
如可以看到的,这个示例中的测量目标30具有比计量设备的照射光斑S更大的一组尺寸。这也被称为“欠填充”目标,并且避免了其他结构在所获取的信号中的干扰。例如,目标可以是40×40μm或更大。使用适当的照射系统,可以减小照射光斑的尺寸。这将使得目标的尺寸能够相应地减小,例如小至10×10μm。减小测量目标的尺寸是重要的,因为它使得目标能够被放置在衬底上的产品区域内,而不需要使用否则可以用于产品结构的过多量的衬底空间。
在这个示例中,目标结构31、32每个在第一(X)方向和第二(Y)方向二者上都是周期性的。在一个实施例中,第一目标结构31和第二目标结构32由第一组特征和第二组特征来限定。在图3中示意性地表示的一个实施例中,第一特征包括由第一光刻步骤限定的多个线性元件,线性元件以周期性布置来布置。在这个实施例中,通过第二组特征修改多个线性元件以形成二维周期性结构。具体地,第二组特征包括线性元件的部分已经被去除的位置的周期性布置。这些“切口”的位置由第二光刻工艺来限定,并且具有二维周期性布置。通常,所有空间频率分量的最短节距(最高空间频率)将是使用第一光刻步骤形成的栅格的最短节距(最高空间频率)。栅格可以是一维或二维的。包括具有切口的线性元件的栅格的二维结构在其空间频率分量上与要使用相同的光刻工艺在同一或另一衬底上产生的产品结构类似。
图4示意性地示出了第一图案化装置MA1(诸如掩模版)的总体布局。图案化装置MA1可以包括限定多个计量目标的特征400和功能产品图案区域402。如众所周知的,图案化装置MA1可以包含单个产品图案,或者在光刻设备的场大到足以容纳它们的情况下,可以包含产品图案的阵列。图4中的示例示出了标记为D1至D4的四个产品区域。目标特征400放置在与这些器件图案区域相邻并且在它们之间的划线区域中。衬底W最终将通过沿着这些划线切割而被切成单个产品,使得目标的存在不会减少可用于功能产品结构的面积。在目标足够小的情况下,它们也可以被部署在产品区域402内,以允许更严密地监测衬底上的光刻和工艺性能。在产品区域D1至D4中示出了这种类型的一些裸片内目标特征404。
尽管图4示出了图案化装置MA1,但是相同的图案在第一光刻步骤之后在衬底W上被再现,并且因此以上描述适用于衬底W以及图案化装置。通常,衬底上的特征将由图案化装置上的相应特征直接限定。然而,也已知的是,图案化装置上的图案与衬底上的完成的特征之间的关系更为复杂。当在这里描述的处理中应用诸如节距倍增和多重图案化等技术时,可以尤其是这样。
另外,图4中示出了第二图案化装置MA2。对于光刻工艺的每个光刻步骤需要单独的图案化装置。这些图案化装置仅仅是将在一系列光刻步骤中使用以通过图1所示的工艺来制造成品的较大的一组图案化装置中的两个。在这个示例中,图案化装置MA1和MA2被设计成在多重图案化工艺中一起使用,以便在单个材料层内限定目标结构和产品结构。
类似于第一图案化装置,第二图案化装置包括多个计量目标特征400'和多个功能产品区域402'。这两个图案化装置在宏观层面上的布局非常相似,但是在微观层面上,图案可能是非常不同的。因此,第二图案化装置可以限定目标结构和/或功能产品图案的新的特征,以添加到在第一光刻步骤中限定的特征。替代地或另外地,第二图案化装置可以限定修改第一光刻步骤中限定的特征的特征。作为示例,第一图案化装置MA1可以使用第一光刻工艺(直接或间接地)限定形成在衬底上的特征的栅格。第二图案化装置MA2可以限定在第二光刻工艺期间修改栅格结构的元件的多个特征。
现在参考图5,示出了用以在衬底上形成产品结构的多重图案化的示例。在(a)处,可以看到第一栅格结构,其包括在第一方向上以周期性布置而布置的多个栅格元件510、512、514、516、518、520。第一栅格结构的特征已经通过第一图案化装置MA1在第一光刻步骤中被限定。然而,在一个示例中,栅格结构不是直接通过第一图案化装置上的图案化而被限定的,而是通过使用节距倍增(例如,两倍、四倍)而形成的。节距倍增允许产生具有与可以使用光刻设备LA直接形成的任何结构相比更精细的节距的结构。当然,应当注意,节距倍增仅仅是用于形成栅格结构的一个示例性方法。
通过多重图案化来形成功能器件图案的下一工艺步骤通常涉及栅格结构的一些或全部元件的局部修改。在本示例中,修改涉及去除在沿着第一栅格结构的元件的所选择的位置处的材料,以便将每个栅格元件切割成多个单独的元件。在成品中,元件可以例如执行连接功能器件的金属导体和形成在所示层上方和/或下方的层中的其他导体。原则上可以设想其他类型的修改,并且切割在以下描述中将被用作说明,仅仅是因为它是最常见的修改示例。而且,元件的修改应当通常被理解为第一栅结构的一个修改示例。第一栅格结构的修改例如可以包括局部地桥接元件之间的间隙,而不是修改元件本身。以这种方式,元件之间的间隙被分成断开的间隙,这在后续工艺步骤中形成功能器件结构时可能是有用的。
为了实现栅格元件510、512、514、516、518、520的局部切割,使用第二图案化装置MA2来执行第二光刻工艺以限定切割掩模522,由视图(b)中的虚线示出。除了小孔524之外,切割掩模522可以由实质上覆盖第一栅格结构的光致抗蚀剂材料形成。图案化装置(图1中的MA)可以被提供有合适的图案以通过在抗蚀剂中进行成像而直接地或以某种方式间接地形成切割掩模孔。从在视图(b)中可以看出,栅格元件的小部分526暴露在孔524中。在本实例中,孔524在第一方向和与第一方向正交的第二方向二者上都以周期性方式布置。切割掩模图案的周期性比具有节距为Λ的栅格结构更低(更长的周期;更低的空间频率)。也可以设想其中孔仅在第一方向和第二方向中的一个方向上以周期性方式布置的实施例。通过合适的蚀刻工艺,去除了栅格元件510、512、514、516、518、520的所有暴露部分。在切割掩模522被去除之后,在(c)处看到包括由切口或间隙分开的栅格元件的功能器件图案。这个器件图案可以是成品结构,或者是被施加另外的步骤以基于这种图案来生产成品的某种中间结构。
为了这个示例的目的,图5中已经示出了仅一个工艺步骤。在实践中,可以执行另外的处理,包括另外的栅格元件的应用,以根据特定的图案来形成功能器件结构。
参考图6,示出了用于通过参考图5描述的工艺来形成图3所示的计量目标30的方法。如上所述,目标结构由第一目标结构31和第二目标结构32形成。每个目标结构包括由第一光刻步骤限定的特征和由第二光刻步骤限定的特征。在本示例中,第一目标结构的形成在(a)处开始于第一栅格结构610,并且第二目标结构开始于第二栅格结构612。这些特征包括由在第一(例如,X)方向上以节距Λ隔开的栅格元件614的周期性阵列形成的栅格结构。栅格元件在第一方向上以周期性布置而布置,其中节距Λ与同一衬底上的相应产品结构的节距相似或相同。这个示例中的每个栅格元件包括在第二(Y)方向上延伸的线性元件。
栅格结构610和612被示出和标记为不同的结构,纯粹是为了解释。在实际的实施例中,单个栅格结构可以遍及两个计量目标区域均匀地延伸并且也可以均匀地延伸通过存在的产品区域(402)。(在这种情况下,产品区域与计量目标区域之间的差异是在第二光刻步骤中制成的,如下所述)。当然,应当注意,这个值仅仅是示例性的,并且任何合适的值可以被选择用于节距Λ。通常,节距应当与产品特征的节距相匹配,使得最终任何测量的参数与实际产品中实现的参数准确相关。在一个示例中,节距为Λ=40nm。节距比在典型的散射仪中使用的辐射的波长(其可以在例如400-700nm的范围内)小几倍。本文中描述的技术可以用于下层的周期性结构的节距小于测量中使用的辐射的波长的1/5或小于1/10的情况。
随后,在第二光刻步骤和适当的处理期间,对栅格结构进行修改以形成产品结构(如果存在于同一衬底上)以及第一目标结构31和第二目标结构32。
为了形成第一目标结构31,如(b)所示,在第二光刻步骤中使用第二图案化装置MA2形成第一切割掩模616。这个切割掩模包括多个孔620,这个示例中的孔在第一方向和第二方向二者上都以周期性方式布置。在本示例中,孔被示出为矩形,但是当然应当理解,孔可以是任何合适的形状,并且在实际工艺中产生时可以被扭曲。第一切割掩模616的孔620布置在切割掩模上,从而与栅格元件偏移已知量(也被称为“偏差”)。在本示例中,第一切割掩模的孔被偏差Λ/2+d,(d<<Λ),也就是说,接近于栅格结构的节距的一半的量。孔620因此被定位成使得每个孔导致对相邻的栅格元件614中的一个或两个进行局部切割,而不是像图5的产品结构中那样整齐地切割一个栅格元件。在这个示例中,Λ=40nm,例如,可以选择d=5nm。应当注意,d的具体值仅仅是示例性的,并且可以选择d的任何合适的值。
通过将偏差设置为接近于Λ/2,目标结构31中的不对称变得更加明显,并且对切割掩模孔的任何进一步的错位(诸如将由套刻误差引起)更加敏感。这转而增加了由第一目标结构散射的辐射的不对称以及这个不对称对由套刻误差引起的错位的敏感性。
类似地,为了形成第二目标结构32,使用第二图案化装置MA2和第二光刻步骤来形成第二切割掩模618。第二切割掩模包括与第一切割掩模的布置类似的布置的多个孔620。第二切割掩模618的孔620被偏差不同的量,在与其在产品区域中的位置(图5)相比时,仍然接近于半个节距。在一个示例中,第二目标结构被形成为具有偏差量Λ/2-d。节距Λ和d的值与第一切割掩模的那些值相同,使得两个目标结构中的偏差量在半节距的任一侧相等地间隔开。
在完成蚀刻和其他工艺步骤之后,栅格元件614的被孔暴露的部分已经被去除,这导致了(c)所示的结构。可以看出,这个示例中的第二目标结构是第一目标结构的镜像。这只是在偏差量在半节距Λ/2的任一侧相等地间隔开的情况下以及在第一光刻步骤和第二光刻步骤之间的套刻误差为零的情况下。在具有非零套刻误差的实际目标中,第一目标结构和第二目标结构将不是彼此的镜像,并且将在其内部表现出不同程度的不对称。注意,尽管目标结构31、32是非常不同的产品结构,并且对感兴趣的参数(诸如套刻)更为敏感,但是它们是通过相同的步骤和处理并且通过图案化装置MA1、MA2中的与产品结构相同的图案而形成的。只有它们相对于下层的栅格元件614的位置偏差被改变。以这种方式,当形成计量目标结构时的光刻设备的性能和其他工艺步骤应当与形成产品结构时的那些相同。
现在参考图7,现在将描述根据本公开的实施例的测量光刻工艺700的参数的方法。在步骤701中,在衬底上提供第一目标结构和第二目标结构。在本实施例中,两个目标结构都是通过上面参考图6描述的处理来形成的。当然,它们可以通过所讨论的任何光刻工艺来形成。
在步骤702中,获取第一角分辨散射光谱辐射。在本实施例中,使用角分辨散射仪,如上面参考图2所述。使用具有所选择的偏振和波长的光源照射第一目标结构。由第一目标结构散射的零阶光被散射仪的光学系统收集。如上所述,检测器位于背投影光瞳面P中(或者替代地位于共轭光瞳面P'中)。检测器19然后捕获表示由第一目标结构散射的零阶光的角分布的第一散射光谱。在本示例中,获取二维散射光谱。原则上,仅一维散射光谱可以被检测器捕获,但是二维散射光谱实际上包含更多的信息,特别是当在本公开中我们所关注的通过多重图案化形成的二维结构时。
在步骤703中,由检测器以类似的方式收集第二角分辨散射光谱。利用光源照射第二目标结构。由第二目标结构散射的零阶光被散射仪的光学系统收集。检测器然后捕获表示由第二目标结构散射的零阶光的角分布的第二散射光谱。
作为步骤702和704的初步步骤,选择适合于特定目标结构的照射条件的处理可以被执行。
在步骤704中,根据第一角分辨散射光谱的不对称和第二角分辨散射光谱的不对称来得出感兴趣的参数的测量。在本示例中,要得出的参数是套刻误差,其如下所述来确定。在其他示例中,感兴趣的参数可以是曝光剂量、焦点或不对称的透镜像差。
为了测量散射光谱的不对称,在一个示例中,处理单元通过从第一散射光谱中减去其自身的180度旋转副本来生成第一差分散射光谱。处理单元然后通过从第二散射光谱中减去其自身的倒转副本来生成第二差分散射光谱。然后,基于第一差分散射光谱和第二差分散射光谱来确定针对第一目标结构的不对称AΛ/2+d和针对第二目标结构的不对称AΛ/2-d。在简单的示例中,简单地通过从差分散射光谱的右半部分中的所有像素值的平均值中减去左半部分中的所有像素值的平均值来计算平均光瞳不对称。替代的或更复杂的不对称测量可以被设想,例如以便最大限度地利用可用信号。可选地,平均光瞳不对称可以归一化为整体平均强度,因为归一化的不对称测量彼此更具可比性。
在图8中,曲线802示出了在传统的基于衍射的套刻测量中的套刻OV与不对称A之间的关系。传统的套刻测量将在这里纯粹作为背景来描述。曲线法线表示+1阶和-1阶衍射信号之间的不对称。理想化的曲线也假设在形成目标结构的各个结构中没有偏移并且没有结构不对称的“理想的”一维目标结构。因此,这个理想目标结构的不对称仅包括由于第一特征和第二特征的未对准引起的套刻贡献。这个套刻贡献是由已知的施加的偏差量和(未知的)套刻误差的组合导致的。这个曲线仅用于说明本公开背后的原理,并且不对称A和套刻OV的单位是任意的。实际尺寸的示例将在下面进一步给出。
在图8的“理想化”情况下,曲线802指示强度不对称A与套刻具有非线性的周期性关系(例如,正弦关系)。正弦变化的周期Λ对应于目标结构的栅格元件的周期或节距Λ,当然被转换为适当的尺度。在这个理想化的示例中,正弦形式是纯粹的,但是在实际情况下可以包括谐波。
本领域技术人员熟知的是使用诸如光栅(具有已知的施加的套刻偏差)等偏差结构来测量套刻,而不是依赖于单个测量。这个偏差具有在制造其的图案化装置(例如,掩模版)中限定的已知值,其用作与所测量的强度不对称相对应的套刻的晶片上校准。在图中,以图形方式示出了计算。仅作为示例,分别针对具有施加的偏差+d和-d的目标获取不对称测量A+d和A-d。将这些测量拟合成正弦曲线给出了点804和806,如图所示。知道了偏差,可以计算出真正的套刻误差OVE。从目标结构的设计已知正弦曲线的节距Λ。曲线802的垂直标度开始并不知道,而是可以称为第一谐波比例常数K1的未知因素。这个常数K1是强度不对称测量对目标结构的灵敏度的量度。
在数学术语中,套刻误差OVE与强度不对称A之间的关系被假定为:
A±d=K1sin(OVE±d) (1)
其中套刻误差OVE以比例表示,使得目标节距Λ对应于角度2π弧度。A+d和A-d分别表示具有偏差+d和-d的目标结构的不对称。使用具有不同的已知偏差(例如,+d和-d)的目标的两个测量,可以在不知道K1的情况下使用以下关系式计算套刻误差OVE
Figure GDA0001583631580000211
在本公开中,提出了使用接近于半节距的偏差量,例如偏差量Λ/2+d和Λ/2-d。除了正弦函数的斜率将相反之外,相同的原理适用于等式(1)和(2)。另一方面,在本公开中,还提出仅使用零阶散射光谱。所讨论的结构是周期性的,其中周期比照射辐射的波长λ短得多。周期Λ可以是例如小于0.2λ或小于0.1λ,并且利用可用的光学系统收集更高阶的衍射辐射可能是不可能的。因此不期望具有图8所示的正弦形式的强不对称信号。本发明人已经认识到,使用接近于Λ/2的偏差量而不是接近于零的偏差量,可以针对通过在单个材料层中的多重图案化形成的二维产品结构给出有用的不对称信号,即使在零阶散射光谱中。
注意,在这种情况下,节距Λ不一定是完成的二维目标结构的周期性,而是由在第一光刻步骤中形成的栅格的节距确定。这将是在第二光刻步骤之后在整个二维周期性结构中存在的若干周期性组件的最短周期。尽管由于各种原因使用Λ/2的任一侧的两个偏差值并且使它们在Λ/2的任一侧相等地间隔是明智的,但是这不是基本要求。根据需要,其中一个偏差量可以正好是Λ/2;两者甚至可以都在Λ/2的同一侧。计算可以适应于接近于Λ/2的任何一对偏差值。考虑到“接近于”半节距,这是针对每个目标的选择和试验的问题。在实际实现中,可能期望在等式(1)中的正弦函数的相对较窄的区域中进行操作,使得具有套刻的不对称的变化可以被认为是线性的。在标识操作区域时不仅要考虑偏差量,还要考虑在实际目标结构中将被加到编程的偏差的套刻误差的预期范围。偏差量Λ/2±d例如可以在0.3Λ到0.7Λ之间,或者在0.4Λ到0.6Λ之间。在特定示例中,参数d被选择为d<Λ/4。一般而言,d的确切大小可以根据情境要求和环境来优化。可以使用较大的d值来改善信噪比,并且可以使用较小的d值来提高套刻计算的精度。
实际上,强度不对称测量不仅取决于目标结构的性质,而且还取决于在目标结构上入射的光的性质。
图9示出了示例性目标结构的多个示例性模拟结果,每个模拟已经使用具有特定波长的光来被执行。每个曲线示出了参考图6所述的具有目标结构的测量目标的归一化的平均不对称。套刻被绘制在横轴上,而不对称信号的幅度被绘制在纵轴上。在每种情况下,平均光瞳不对称已经被归一化为整体平均强度。在每个测量中使用的辐射的波长由标签显示,其范围从左上方的425nm到下方的700nm。在这里模拟的示例中,第一目标结构的第一特征和第二目标结构的第三特征都具有Λ=40nm的节距。第一目标结构的第三特征可以例如被偏差Λ/2+d,其中d=5nm。第二目标结构的第四特征被偏差Λ/2-d。
在本示例中,不对称根据在测量中使用的波长而变化。通过选择所使用的光的波长,可以使测量的精度最大化。对于不同的工艺和不同的目标设计,不同的波长和极化可能会更成功。在图9的说明中,TM偏振被选择用于所有的曲线,但是偏振是可以根据需要而改变的照射的参数。
根据需要,可以在多于一个波长和/或极化下进行测量,以进一步提高测量的精度。来自不同波长的结果可以以任何合适的方式组合,或者是在转换为套刻值之前或者是在这之后。注意,可以期望的是,不仅优化不对称信号的幅度(图9曲线中的垂直刻度),而且优化曲线的线性。所选择的波长应当是针对其获取强信号的一个(或多于一个)波长,其在套刻(或其他感兴趣的参数)的期望值的范围内或多或少是线性的。在图9所示的示例中,可以使用简单的检查来针对给定的目标结构选择最佳波长。
图10示出了图9所示的辐射波长中的一个辐射波长的多个示例性的模拟的光瞳图像。每个图像示出了针对给定的套刻值的模拟的光瞳图像。辐射波长和套刻量由每个图像上方的标签示出。可以看出,所使用的辐射的波长是425nm,并且套刻值的范围是从-6nm到+6nm。
现代产品结构的尺寸非常小,以至于不能通过光学计量技术来成像。小特征包括例如由多重图案化工艺以及节距倍增(上面进一步解释的术语)形成的那些特征。实际上,这些结构对于不能“看见”它们的传统的计量技术而言太小。因此,用于大批量计量的目标通常使用比套刻误差或关键尺寸是感兴趣性质的产品大得多的特征。
尽管扫描电子显微镜能够解决现代产品结构,但是利用扫描电子显微镜进行的测量比光学测量更费时且更昂贵,并且导致所测量的晶片的破坏。
本发明人已经认识到,可以通过使用由这些结构散射的零阶光来对尺寸和处理类似于产品结构的结构或者从产品结构形成的结构进行计量测量。此外,已经认识到,使用通过仔细选择加权系数而加权的所测量的光谱的不对称贡献,可以确定例如多重图案化工艺的两个步骤之间的套刻误差。
在一个方面,提供了一种测量光刻工艺的参数的方法,其包括:利用辐射照射目标结构,其中目标结构由上述光刻工艺形成,获取目标结构的角分辨散射光谱;以及使用在目标结构的散射光谱中发现的不对称来得出上述参数的测量。
在一些实施例中,使用在目标结构的散射光谱中发现的不对称包括使用散射光谱的与基准相等间隔的区域。
在一些实施例中,通过加权系数来修改在得出光刻工艺的参数中使用的目标结构的散射光谱中发现的不对称的贡献。
此外,图2中描述的计量设备的照射可以被指向包含图案形成产品结构的晶片W的区域。在通常的实验条件下,当由于至少两个图案化装置的曝光而形成产品时,预期会出现套刻误差,套刻误差在上述形成的图案结构之间。作为一个示例,这两个图案可以通过对应于周期线结构的图案化装置和对应于切割掩模的图案化装置来形成。
由以上段落中描述的产品结构形成的或者通过类似于产品结构的结构形成的目标结构利用所选择的偏振和波长的光源来被照射。由目标结构散射的零阶光被散射仪的光学系统收集。如上所述,检测器位于背投影光瞳面P中(或者替代地位于共轭光瞳面P'中)。检测器19然后捕获表示由第一目标结构散射的零阶光的角分布的第一散射光谱。在本示例中,获取二维散射光谱。原则上,仅一维散射光谱可以被检测器捕获,但是二维散射光谱实际上包含更多的信息,特别是当在本公开中像我们所关注的通过多重图案化形成的二维结构时。因此,光刻工艺的参数使用以下方法来被测量,该方法包括:利用辐射照射目标结构,其中目标结构通过上述光刻工艺形成,获取目标结构的角分辨散射光谱;以及使用在目标结构的散射光谱中发现的不对称来得出上述参数的测量。
此外,当使用在目标结构的散射光谱中发现的不对称时,该方法使用散射光谱的与基准相等间隔的区域。例如,当测量的光谱是在光瞳面P中测量的二维散射光谱时,基准可以是二维坐标系的两个轴中的一个。在这种情况下,基准可以是x轴或y轴。应当认识到,x轴和y轴形成对称的基准作为线。而且,在同一二维坐标系中,坐标系的原点也可以作为基准。在这种情况下,基准将是点。
得出光刻工艺的参数的测量的步骤使用如在光瞳P中发现的二维测量光谱的相对于基准对称的区域。通过减去光瞳的上述对称贡献,能够找到在所测量的二维频谱中存在的不对称程度的指示。减去光瞳的上述对称部分的步骤形成了特性SS。例如,二维光谱的不对称与在不同光刻步骤中形成的图案化结构之间的套刻误差相关。用于得出套刻误差的区域可以是单个像素或者可以是像素组,上述组具有内部对称性,或者上述组完全不具有对称性。
此外,通过加权系数修改在得出光刻工艺的参数时使用的目标结构的散射光谱中发现的不对称的贡献。每个特性SS将表示在光瞳中测量的二维光谱的不对称的指示以及在二维散射光谱中存在的不对称的对称性的指示。认识到,可以测量多个SS特性,SS特性中的每一个是来自测量的二维光谱的不同区域的贡献,或者是通过使用不同的加权系数获取的。通过针对每个特性SS引入加权因子,可以增强套刻误差的检测。
在一个实施例中,加权系数是从对称位置的不对称雅可比行列式部分获取的。可以通过使用分析或计算方法(诸如例如,RCWA)计算目标结构的名义上的目标模型的雅可比行列式来获取二维谱中的对套刻误差敏感的区域。加权系数是从这个雅可比行列式的不对称部分得出的。
在一个实施例中,加权系数是从在不同的套刻误差中计算的不对称雅可比行列式获取的。由于非线性二维频谱响应,当目标结构由于工艺变化而改变时,雅可比行列式可以改变。从对应于不同工艺变化的目标结构的模型获取的(加权的)雅可比行列式平均的非对称部分可以用于使得套刻测量对于工艺变化更鲁邦。
在一个实施例中,加权系数是从实验设计(DoE)获取的。通过例如对这些测量的二维光谱的不对称应用PCA(主成分分析),可以使用DoE来确定测量的二维光谱中的对套刻误差敏感的区域。加权方案是从一个或多个获取的主成分直接得出的。
尽管已经描述了物理掩模版形式的图案化装置,但是本申请中的术语“图案化装置”还包括以数字形式传送图案的数据产品,例如以结合可编程图案化装置来使用。
以下编号的项中提供了根据本发明的另外的实施例:
1.一种测量光刻工艺的参数的方法,所述光刻工艺用于使用两个或更多个光刻步骤在单个材料层中形成二维周期性产品结构,所述方法包括:
提供第一目标结构和第二目标结构,每个目标结构包括使用第一光刻步骤和第二光刻步骤在衬底上的单个材料层中形成的二维周期性结构,其中在所述第一目标结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征移位第一偏差量,所述第一偏差量接近于在所述第一光刻步骤中形成的特征的空间周期的一半,并且在所述第二目标结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征移位第二偏差量,所述第二偏差量接近于所述空间周期的一半并且不同于所述第一偏差量;
获取所述第一目标结构的角分辨散射光谱和所述第二目标结构的角分辨散射光谱;以及
使用在所述第一目标结构的散射光谱中发现的不对称和在所述第二目标结构的散射光谱中发现的不对称得出所述参数的测量。
2.根据项1所述的方法,其中获取每个目标结构的角分辨散射光谱包括:
利用辐射来照射所述目标结构;以及
使用由所述目标结构散射的零阶辐射来检测所述角分辨散射光谱。
3.根据项1或2所述的方法,其中每个目标结构的空间周期显著短于用于照射所述目标结构的辐射的波长。
4.根据项2或3所述的方法,还包括从一定范围的可用波长中选择所述辐射的波长,以便优化所述目标结构的角分辨散射光谱中的不对称的强度和线性度。
5.根据任一前述项所述的方法,其中得出所述参数的步骤包括使用在所述第一目标结构的散射光谱中发现的不对称、在所述第二目标结构的散射光谱中发现的不对称以及所述第一偏差量和所述第二偏差量的知识来计算与所述产品结构有关的套刻误差的测量。
6.根据任一前述项所述的方法,其中在所述第一光刻步骤中限定的所述目标结构的特征包括在第一方向上限定所述空间周期的栅格结构,并且在所述第二光刻步骤中限定的所述目标结构的特征包括在二维周期性布置中周期性间隔的位置处的所述栅格结构的修改。
7.根据任一前述项所述的方法,其中在所述第一光刻步骤中限定的所述目标结构的特征包括在第一方向上限定所述空间周期的栅格结构,并且在所述第二光刻步骤中限定的所述目标结构的特征包括在所述栅格结构的元件中的切口。
8.根据任一前述项所述的方法,其中所述第一目标结构和所述第二目标结构在所述第一光刻步骤和所述第二光刻步骤已被用于限定它们的特征之后通过蚀刻和/或沉积工艺来形成。
9.根据任一前述项所述的方法,其中产品结构使用所述第一光刻步骤和所述第二光刻步骤形成在同一衬底上的同一材料层中的别处,并且其中在所述产品结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征没有移位任何偏差量。
10.一种用于在测量光刻工艺的参数时使用的衬底,所述衬底包括第一目标结构和第二目标结构,每个目标结构包括使用第一光刻步骤和第二光刻步骤形成在单个材料层中的二维周期性结构,其中
在所述第一目标结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征移位第一偏差量,所述第一偏差量接近于在所述第一光刻步骤中形成的特征的空间周期的一半,以及,
在所述第二目标结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征移位第二偏差量,所述第二偏差量接近于所述空间周期的一半并且不同于所述第一偏差量。
11.根据任一前述项所述的衬底,其中在所述第一光刻步骤中限定的所述目标结构的特征包括在第一方向上限定所述空间周期的栅格结构,并且在所述第二光刻步骤中限定的所述目标结构的特征包括在二维周期性布置中周期性间隔的位置处的所述栅格结构的修改。
12.根据任一前述项所述的衬底,其中在所述第一光刻步骤中限定的所述目标结构的特征包括在第一方向上限定所述空间周期的栅格结构,并且在所述第二光刻步骤中限定的所述目标结构的特征包括在所述栅格结构的元件中的切口。
13.根据任一前述项所述的衬底,其中所述第一目标结构和所述第二目标结构在所述第一光刻步骤和所述第二光刻步骤已被用于限定它们的特征之后通过蚀刻和/或沉积工艺来形成。
14.根据项10至13中任一项所述的衬底,其中产品结构使用所述第一光刻步骤和所述第二光刻步骤形成在同一衬底上的同一材料层中的别处,并且其中在所述产品结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征没有移位任何偏差量。
15.一组图案化装置,被适配为在用于制造根据项10至14中任一项所述的衬底的光刻工艺中限定所述第一目标结构和所述第二目标结构的特征,所述一组图案化装置包括用于在所述材料层中形成所述第一目标结构和所述第二目标结构的用于在所述第一光刻步骤中使用的第一图案化装置和用于在所述第二光刻步骤中使用的第二图案化装置。
16.根据项15所述的一组图案化装置,其中所述图案化装置进一步被适配为使用所述第一光刻步骤和所述第二光刻步骤在同一衬底上的同一材料层中的别处限定产品结构的特征,并且其中在所述产品结构中,在所述第二光刻步骤中限定的特征相对于在所述第一光刻步骤中限定的特征没有偏移任何偏差量。
17.一种计量设备,被布置为执行根据项1至9中任一项所述的方法。
18.根据项17所述的计量设备,还包括:
用于衬底的支撑件,所述衬底上已形成有第一目标结构和第二目标结构;
光学系统,用于利用辐射选择性地照射每个目标结构并且收集由所述目标结构散射的至少零阶辐射;
检测器,用于使用所述零阶辐射来检测每个目标结构的角分辨散射光谱;以及
处理器,被布置为使用所述第一目标结构的角分辨散射光谱的不对称和所述第二目标结构的角分辨散射光谱的不对称来得出光刻工艺的参数。
19.一种光刻系统,包括:
用于在光刻工艺中使用的光刻设备;以及
根据项17或18所述的计量设备,用于在使用至少部分地使用所述光刻设备形成的第一目标结构和第二目标结构来测量所述光刻工艺的参数时使用。
20.一种计算机程序产品,包括机器可读指令,所述机器可读指令当在合适的处理器上运行时引起所述处理器执行根据项1至9中任一项所述的方法的得出步骤。
21.根据权利要求20所述的计算机程序产品,还包括用于控制计量设备利用辐射照射所述第一目标结构和所述第二目标结构并且检测用于在所述得出步骤中使用的所述角分辨散射光谱的机器可读指令。
22.一种用于确定衬底上的套刻误差的方法,所述衬底上已经形成有产品结构,所述产品结构包括由第一光刻工艺限定的第一产品特征和由第二光刻工艺限定的第二产品特征,所述套刻误差包括所述第一产品特征与所述第二产品特征之间的位置偏差,所述方法包括:
在所述衬底上提供第一目标结构,所述第一目标结构包括由所述第一光刻工艺限定的第一目标特征和由所述第二光刻步骤限定的第二目标特征,所述第一目标特征与所述第二目标特征之间的位置关系取决于第一偏差值和所述套刻误差;以及
在所述衬底上提供第二目标结构,所述第二目标结构包括由所述第一光刻工艺限定的第三目标特征和由所述第二光刻步骤限定的第四目标特征,所述第三目标特征与所述第四目标特征之间的位置关系取决于第二偏差值和所述套刻误差;
使用从所述第一目标结构衍射的零阶辐射来检测第一角分辨散射光谱;
使用从所述第二目标结构衍射的零阶辐射来检测第二角分辨散射光谱;
基于在所述第一角分辨散射光谱和所述第二角分辨散射光谱中观察到的不对称以及基于所述第一偏差值和所述第二偏差值的知识来计算所述套刻误差的测量。
23.一种测量光刻工艺的参数的方法,包括:
利用辐射来照射目标结构,其中所述目标结构由所述光刻工艺形成,
获取所述目标结构的角分辨散射光谱;以及
使用在所述目标结构的散射光谱中发现的不对称来得出所述参数的测量。
24.根据项23所述的方法,其中获取所述目标结构的角分辨散射光谱包括检测由所述目标结构散射的零阶辐射。
25.根据项23所述的方法,其中每个目标结构包括形成二维阵列的特征。
26.根据项23所述的方法,其中所述目标结构包括在互补二维傅里叶空间中具有非零分量的特征。
27.根据任一前述项所述的方法,其中得出所述参数的步骤包括使用在所述目标结构的散射光谱中发现的不对称来计算与所述产品结构有关的套刻误差的测量。
28.根据项24所述的方法,其中使用在所述目标结构的散射光谱中发现的不对称包括使用所述散射光谱的与基准相等间隔的区域。
29.根据项28所述的方法,其中所述基准是线。
30.根据项28所述的方法,其中所述基准是点。
31.根据项28所述的方法,其中在所述光刻工艺的参数的得出中使用的所述不对称的贡献通过加权系数来被修改。
32.根据项31所述的方法,其中所述加权系数是从对称的位置的不对称雅可比行列式部分获取的。
33.根据项31所述的方法,其中所述加权系数是从在不同的套刻误差下计算的不对称雅可比行列式获取的。
34.根据项31所述的方法,其中所述加权系数是从实验设计获取的。
35.一种计量设备,被布置为执行根据项23至34中任一项所述的方法。
36.根据项35所述的计量设备,还包括:
用于衬底的支撑件,所述衬底上已形成有目标结构;
光学系统,用于利用辐射选择性地照射每个目标结构并且收集由所述目标结构散射的至少零阶辐射;
检测器,用于使用所述零阶辐射来检测每个目标结构的角分辨散射光谱;以及
处理器,被布置为使用所述目标结构的角分辨散射光谱的不对称来得出光刻工艺的参数。
37.一种光刻系统,包括:
用于在光刻工艺中使用的光刻设备;以及
根据项35或36所述的计量设备,用于在使用至少部分地使用所述光刻设备形成的目标结构来测量所述光刻工艺的参数时使用。
38.一种计算机程序产品,包括机器可读指令,所述机器可读指令当在合适的处理器上运行时引起所述处理器执行根据项23至34中任一项所述的方法的得出步骤。
39.根据项38所述的计算机程序产品,还包括用于控制计量设备利用辐射照射第一目标结构和第二目标结构并且检测用于在所述得出步骤中使用的所述角分辨散射光谱的机器可读指令。
虽然以上已经在光学光刻的背景下对本发明的实施例的使用进行了具体的参考,但是应当理解,本发明可以用于其他应用,例如压印光刻,并且在上下文允许的情况下不限于光学光刻。在压印光刻中,图案化装置中的形貌限定了在衬底上产生的图案。图案化装置的形貌可以被压入提供给衬底的抗蚀剂层中,于是抗蚀剂通过施加电磁辐射、热、压力或其组合被固化。在抗蚀剂固化之后,图案化装置从抗蚀剂中移出,留下图案。
与光刻设备相关地使用的术语“辐射”和“光束”包括所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有大约365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如,具有在5-20nm的范围内的波长)以及诸如离子束或电子束等粒子束。
在上下文允许的情况下,术语“透镜”可以指代各种类型的光学部件中的任何一个或其组合,包括折射、反射、磁性、电磁和静电光学部件。
对具体实施例的以上描述将充分揭示本发明的一般性质,使得其他人可以在不脱离本发明的一般概念的情况下通过应用本领域技术内的知识容易地修改和/或适应这样的具体实现的各种应用,而无需过度的实验。因此,基于本文中给出的教导和指导,这样的适应和修改意在处于所公开实施例的等同物的含义和范围内。应当理解,本文中的措辞或术语是出于示例而非限制进行描述的目的,使得本说明书的术语或措辞由本领域技术人员根据教导和指导来解释。
本发明的宽度和范围不应当被任何上述示例性实施例限制,而是应当仅根据下面的权利要求及其等同物来限定。

Claims (15)

1.一种测量光刻工艺的参数的方法,包括:
使用所述光刻工艺来提供第一目标结构和第二目标结构,所述第一目标结构和所述第二目标结构中的每个目标结构包括使用第一光刻步骤和第二光刻步骤在衬底上的单个材料层中形成的二维周期性结构,
所述第一目标结构包括在所述第一光刻步骤中限定的栅格元件和在所述第二光刻步骤中通过部分地切割所述第一目标结构中的每个栅格元件而限定的特征,所述第一目标结构中的所述栅格元件的每个切割部分相对于所述栅格元件偏移第一偏差量,所述第一偏差量接近于所述栅格元件的空间周期的一半,并且
所述第二目标结构包括在所述第一光刻步骤中限定的栅格元件和在所述第二光刻步骤中通过部分地切割所述第二目标结构中的每个栅格元件而限定的特征,所述第二目标结构中的所述栅格元件的每个切割部分相对于所述栅格元件偏移第二偏差量,所述第二偏差量接近于所述栅格元件的空间周期的一半并且不同于所述第一偏差量;
利用辐射照射所述第一目标结构和所述第二目标结构;
获取所述第一目标结构的角分辨散射光谱和所述第二目标结构的角分辨散射光谱;以及
使用在所述第一目标结构的所述角分辨散射光谱中发现的不对称和在所述第二目标结构的所述角分辨散射光谱中发现的不对称来得出所述参数的测量。
2.根据权利要求1所述的方法,其中获取所述第一目标结构和所述第二目标结构的角分辨散射光谱包括检测由所述第一目标结构和所述第二目标结构散射的零阶辐射。
3.根据权利要求1所述的方法,其中所述第一目标结构和所述第二目标结构中的每个目标结构包括形成二维阵列的特征。
4.根据权利要求1所述的方法,其中所述第一目标结构和所述第二目标结构中的每个目标结构包括在互补二维傅里叶空间中具有非零分量的特征。
5.根据权利要求1至4中任一项所述的方法,其中得出所述参数的步骤包括使用在所述第一目标结构和所述第二目标结构的所述角分辨散射光谱中发现的不对称来计算与所述第一目标结构和所述第二目标结构有关的套刻误差的测量。
6.根据权利要求2所述的方法,其中使用在所述第一目标结构和所述第二目标结构的所述角分辨散射光谱中发现的不对称包括使用所述角分辨散射光谱的与基准相等间隔的区域。
7.根据权利要求6所述的方法,其中所述基准是线。
8.根据权利要求6所述的方法,其中所述基准是点。
9.根据权利要求6所述的方法,其中在得出所述光刻工艺的所述参数中使用的所述不对称的贡献通过加权系数来被修改。
10.根据权利要求9所述的方法,其中所述加权系数是从对称的位置的不对称雅可比行列式部分获取的。
11.根据权利要求9所述的方法,其中所述加权系数是从在不同套刻误差下计算的不对称雅可比行列式获取的。
12.根据权利要求9所述的方法,其中所述加权系数是从实验设计获取的。
13.一种计量设备,被布置为执行根据权利要求1至12中任一项所述的方法。
14.一种光刻系统,包括:
用于在光刻工艺中使用的光刻设备;以及
根据权利要求13所述的计量设备,用于在使用至少部分地使用所述光刻设备形成的目标结构来测量所述光刻工艺的参数时使用。
15.一种计算机可读介质,包括机器可读指令,所述机器可读指令当在合适的处理器上运行时引起所述处理器执行根据权利要求1至12中任一项所述的方法的得出步骤。
CN201680049910.6A 2015-08-27 2016-08-22 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置 Active CN107924140B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562210938P 2015-08-27 2015-08-27
US62/210,938 2015-08-27
US201662301880P 2016-03-01 2016-03-01
US62/301,880 2016-03-01
PCT/EP2016/069790 WO2017032736A1 (en) 2015-08-27 2016-08-22 Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method

Publications (2)

Publication Number Publication Date
CN107924140A CN107924140A (zh) 2018-04-17
CN107924140B true CN107924140B (zh) 2021-06-18

Family

ID=56802477

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680049910.6A Active CN107924140B (zh) 2015-08-27 2016-08-22 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置

Country Status (8)

Country Link
US (2) US10481503B2 (zh)
JP (1) JP6740338B2 (zh)
KR (2) KR102109060B1 (zh)
CN (1) CN107924140B (zh)
IL (1) IL257395B (zh)
NL (1) NL2017300A (zh)
TW (1) TWI631429B (zh)
WO (1) WO2017032736A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
JP6393397B2 (ja) 2014-06-30 2018-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
WO2017176314A1 (en) * 2016-04-04 2017-10-12 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation
EP3318927A1 (en) 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
EP3321738A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
EP3336605A1 (en) * 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2019038054A1 (en) * 2017-08-23 2019-02-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF A PATTERN TRANSFER PROCESS, DEVICE MANUFACTURING METHOD
JP6788559B2 (ja) * 2017-09-04 2020-11-25 キヤノン株式会社 パターン形成方法、リソグラフィ装置、および物品製造方法
EP3454126A1 (en) * 2017-09-08 2019-03-13 ASML Netherlands B.V. Method for estimating overlay
EP3470926A1 (en) * 2017-10-16 2019-04-17 ASML Netherlands B.V. Metrology apparatus, lithographic system, and method of measuring a structure
EP3499311A1 (en) * 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
EP3557327A1 (en) * 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
TWI746320B (zh) 2020-12-18 2021-11-11 財團法人工業技術研究院 產生及更新定位分布圖的方法及其系統
JP2023000112A (ja) 2021-06-17 2023-01-04 キオクシア株式会社 計測装置および計測プログラム
EP4167031A1 (en) * 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
CN1303477C (zh) * 2001-10-10 2007-03-07 安格盛光电科技公司 利用截面分析确定聚焦中心
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6804005B2 (en) 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7844131B2 (en) * 2006-08-24 2010-11-30 Asml Netherlands B.V. Lithographic apparatus, a device manufacturing method and a device manufactured thereby
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7460237B1 (en) * 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007046850B4 (de) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
SG152187A1 (en) * 2007-10-25 2009-05-29 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2002883A1 (nl) 2008-06-26 2009-12-29 Asml Netherlands Bv Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus.
DE102008042356A1 (de) 2008-09-25 2010-04-08 Carl Zeiss Smt Ag Projektionsbelichtungsanlage mit optimierter Justagemöglichkeit
US8502955B2 (en) * 2008-12-30 2013-08-06 Asml Netherlands B.V. Method of determining a characteristic
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
EP2457071A4 (en) 2009-07-22 2015-09-02 Kla Tencor Corp ANTISYMMETRIC RADAR DIFFUSIOMETRY WITH ANGULAR RESOLUTION
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
JP5661194B2 (ja) * 2010-11-12 2015-01-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、リソグラフィシステム並びにデバイス製造方法
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
EP2694983B1 (en) 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
WO2013039437A1 (en) 2011-09-13 2013-03-21 Isaberg Rapid Ab Link arrangement in a stapler
KR101765814B1 (ko) 2011-11-30 2017-08-08 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치
WO2013143814A1 (en) * 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
JP6077647B2 (ja) * 2012-05-29 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
KR102312241B1 (ko) * 2012-11-21 2021-10-13 케이엘에이 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
WO2015009619A1 (en) 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
US8918746B1 (en) * 2013-09-04 2014-12-23 Globalfoundries Inc. Cut mask aware contact enclosure rule for grating and cut patterning solution
US9958791B2 (en) 2013-10-30 2018-05-01 Asml Netherlands B.V. Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method
CN105900015B (zh) 2013-11-26 2019-07-05 Asml荷兰有限公司 用于光刻度量的方法、设备和衬底
CN105900016B (zh) 2013-12-05 2018-02-13 Asml荷兰有限公司 用于测量衬底上的结构的方法和设备、用于误差校正的模型、用于实施这样的方法和设备的计算机程序产品
US9958790B2 (en) 2013-12-19 2018-05-01 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
JP6567523B2 (ja) 2013-12-30 2019-08-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
CN106463350B (zh) * 2014-06-13 2019-12-20 英特尔公司 通过选择性削减规则网格的垂直沟道晶体管制造工艺
WO2016078862A1 (en) 2014-11-21 2016-05-26 Asml Netherlands B.V. Metrology method and apparatus
CN107111250B (zh) * 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
US10838309B2 (en) 2015-02-23 2020-11-17 Asml Netherlands B.V. Device manufacturing method and patterning devices for use in device manufacturing method
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US9735029B1 (en) * 2016-09-22 2017-08-15 International Business Machines Corporation Metal fill optimization for self-aligned double patterning

Also Published As

Publication number Publication date
JP6740338B2 (ja) 2020-08-12
TW201730683A (zh) 2017-09-01
US10481503B2 (en) 2019-11-19
US11092900B2 (en) 2021-08-17
TWI631429B (zh) 2018-08-01
CN107924140A (zh) 2018-04-17
US20200073254A1 (en) 2020-03-05
KR102109060B1 (ko) 2020-05-12
IL257395A (en) 2018-04-30
IL257395B (en) 2022-05-01
US20170059999A1 (en) 2017-03-02
WO2017032736A1 (en) 2017-03-02
KR102399698B1 (ko) 2022-05-18
KR20200051839A (ko) 2020-05-13
JP2018526674A (ja) 2018-09-13
NL2017300A (en) 2017-03-01
KR20180042402A (ko) 2018-04-25

Similar Documents

Publication Publication Date Title
CN107924140B (zh) 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置
KR20180058819A (ko) 계측 방법, 타겟 및 기판
JP6703612B2 (ja) 構造を測定する方法、検査装置、リソグラフィシステム、およびデバイス製造方法
WO2016198283A1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
WO2016034428A2 (en) Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
US10747124B2 (en) Method of measuring a target, metrology apparatus, polarizer assembly
CN110088685B (zh) 测量衬底的属性的方法、检查设备、光刻系统和器件制造方法
CN109073987B (zh) 用于调节光刻设备的致动的方法
NL2020323B1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US10831111B2 (en) Metrology method and lithographic method, lithographic cell and computer program

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant