CN107924118A - 量测方法、辐射源、量测设备及器件制造方法 - Google Patents

量测方法、辐射源、量测设备及器件制造方法 Download PDF

Info

Publication number
CN107924118A
CN107924118A CN201680047436.3A CN201680047436A CN107924118A CN 107924118 A CN107924118 A CN 107924118A CN 201680047436 A CN201680047436 A CN 201680047436A CN 107924118 A CN107924118 A CN 107924118A
Authority
CN
China
Prior art keywords
radiation
measurement
wavelength
substrate
photon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680047436.3A
Other languages
English (en)
Other versions
CN107924118B (zh
Inventor
A·O·波利亚科夫
理查德·金塔尼利亚
V·Y·班尼恩
C·A·维索尔伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN107924118A publication Critical patent/CN107924118A/zh
Application granted granted Critical
Publication of CN107924118B publication Critical patent/CN107924118B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators

Abstract

通过至少第一次用由逆康普顿散射而产生的EUV辐射(304)来照射通过光刻术制成或用于光刻术中的目标结构(T)来检查所述结构。检测(312)在反射或透射中由所述目标结构散射的辐射(308),且通过处理器(340)基于所检测的散射辐射来计算所述目标结构的属性。所述辐射可以具有在0.1纳米至125纳米的EUV范围内的第一波长。通过使用同一源且控制电子能量,可以用在所述EUV范围内的不同波长和/或用较短(x射线)波长和/或用较长(UV光、可见光)波长来照射所述结构多次。通过在逆康普顿散射源中快速切换电子能量,可以每秒多次进行用不同波长的照射。

Description

量测方法、辐射源、量测设备及器件制造方法
相关申请的交叉引用
本申请要求于2015年8月12日递交的欧洲申请EP 15180740.1的优先权,并且通过引用将全文并入本文中。
技术领域
本发明涉及能够用于(例如)通过光刻技术制造器件中的量测方法和设备,以及使用光刻技术来制造器件的方法。将测量临界尺寸(线宽)的方法描述为这样量测的特定应用。出于本公开的目的,量测包括出于宽范围的目的的检查,包括(例如)缺陷的检测,而不仅仅是进行特定尺寸或材料属性的定量测量。
背景技术
光刻设备是将所期望的图案施加至衬底上(通常施加至衬底的目标部分上)的机器。光刻设备可以用于(例如)集成电路(IC)的制造中。在这种情况下,图案形成装置(其可替代地被称作掩模或掩模版)可以用以产生待形成于IC的单个层上的电路图案。可将所述图案转印至衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个管芯或多个管芯)上。
在光刻过程中,期望频繁地对所产生的结构进行测量,例如用于过程控制和验证。用于进行这些测量的各种工具是已知的,包括通常用以测量临界尺寸(CD)的扫描电子显微镜(SEM)。其它专门的工具是用以测量与不对称性相关的参数。这些参数中的一个是重叠(器件中的两个层的对准的准确度)。近来,已开发用在光刻领域中的各种形式的散射仪。这些装置将辐射束引导至目标上并测量散射辐射的一种或更多种属性--例如,作为波长的函数的在单个反射角的强度;作为反射角的函数的在一个或更多个波长处的强度;或作为反射角的函数的偏振--以获得可以从其确定目标的感兴趣的属性的光谱。可通过各种技术来执行感兴趣属性的确定:例如通过诸如严密耦合波分析或有限元方法的迭代方法的目标结构的重建;库搜索以及主成份分析。相比于SEM技术,可在大比例的或甚至所有的产品单元上以高得多的生产量使用光学散射仪。
然而,随着技术发展,性能的技术要求变得愈来愈严格。当前方法的另外限制是:所述方法被用光学波长进行,需要尺寸比实际产品特征的典型尺寸大得多的专用量测结构。因此,对这些量测结构进行的测量仅间接地表示实际产品结构。特定的感兴趣参数是线宽(CD),且尚未设计出用于CD测量的合适的小目标方法。
为了获得较高分辨率的测量,也已经考虑使用具有在(例如)0.1纳米至125纳米的范围内的波长的EUV辐射。EUV辐射是特别有吸引力的,这是因为其具有与待测量的结构相同量级的波长。例如,在欧洲专利申请第15160786号中提议光谱EUV反射测量术,所述专利申请在本发明的优先权日时还未公布。令人遗憾的是,由于可利用的辐射源的限制,不存在提供以适合于大容量制造中的批量测量的速度对小目标(诸如,管芯内的光栅或产品结构自身)的量测的已有技术。理想的辐射源将是紧凑的且负担得起的,且具有与自由选择的波长耦合的高亮度以及聚焦至小目标区域中的良好能力。
近来已经基于逆康普顿散射(ICS)现象描述亮的紧凑的x射线源。由W S Graves等人在“Compact x-ray source based on burst-mode inverse Compton scattering at100kHz”(Physical Review Special Topics-Accelerators and Beams 17,120701(2014年))中描述了这种x射线源。Graves等人的参考资料和相关的专利申请的内容以引用方式并入本发明中。为了达到电子上的高亮度,使用线性加速器以实现在x射线量测应用中使用的期望的高亮度。在已公布的专利申请US2014191654A1(Tantawi&Neilson)中提供用于x射线源中的线性加速器的细节。用以加速电子的其他方式正由其他工作者开发。
发明内容
本发明旨在提供小目标量测的替代方法,从而克服上文所描述的光学和X射线方法的缺点中的一个或更多个。特别期望的是测量(例如)半导体衬底上的产品区域内的部位处的参数,同时改善可以执行这些测量的速度,且同时适应于通过当前和未来的光刻技术制造的更小尺寸的特征。
本发明的发明人已经认识到基于逆康普顿散射的源可以适应于提供在EUV(软X射线)范围内的亮且可控制的源,以实现对小目标的大容量量测。所述同一被适应的源可以用以检查其他类型的结构(天然和人造两者),而不仅仅是检查半导体产品。
本发明在第一方面中提供一种测量结构的属性的方法,所述方法包括:至少第一次用辐射来照射所述结构;检测与所述结构相互作用之后的所述辐射;和基于所述辐射的属性确定所述结构的属性,其中所述辐射是由逆康普顿散射产生,所述辐射具有在0.1纳米至125纳米的范围内的第一波长。
本发明还提供一种基于逆康普顿散射的辐射源设备,所述辐射源设备包括:电子源和光子源;以及控制器,所述控制器用于控制所述电子源和所述光子源以将一个或更多个电子聚束与光子的脉冲同时传递至相互作用点,由此一部分所述光子通过至所述辐射源设备的逆康普顿散射获取额外的能量且由所述辐射源设备输出,其中所述额外能量能够控制成使得由所述辐射源设备输出的所述光子具有在0.1纳米至125纳米的范围内的波长。
本发明的发明人已经认识到,基于逆康普顿散射的源可以被设计且控制成传递具有极广的范围的波长的辐射,而不仅仅是EUV波长和/或x射线。所述源(例如)可以是能够操作以提供除了在EUV波长的辐射以外的在EUV、UV以及甚至可见光波长和/或x射线波长中的辐射。
本发明还提供一种用于测量结构的属性的量测设备,所述量测设备包括:
根据如上文所阐述的本发明的辐射源设备;
照射系统,用于将由所述辐射源设备输出的光子在辐射束中传递至所述结构上;和
检测系统,用于在所述光子已经与所述结构相互作用之后检测来自所述结构的辐射。
在特定的实施方式中,所述设备适应于接收来自于自动化晶片输送装置的半导体晶片(例如,300毫米晶片)。在其他应用中,所述设备可适应于测量任何类型的结构,不论是天然的还是人造的。
在又一方面中,本发明提供一种器件制造方法,其包括:
使用光刻过程将图案从图案形成装置转印到衬底上,所述图案限定至少一个周期性结构;
测量所述周期性结构的一个或更多个属性以确定用于所述光刻过程的一个或更多个参数的值;和
根据所测量的属性在所述光刻过程的后续操作中施加校正,
其中测量所述周期性结构的所述属性的步骤包括通过根据上文所阐述的本发明的方法来测量属性。
下文参考附图详细地描述本发明的进一步的特点和优点,以及本发明的各个实施例的结构和操作。应注意,本发明不限于本文中所描述的特定实施例。仅出于说明目的在本文中呈现出这些实施例。基于本发明包含的教导,额外的实施例对于相关领域的技术人员将是显而易见的。
附图说明
现在将参考附图通过举例的方式描述本发明的实施例,在附图中:
图1示出形成用于半导体器件的生产设施的光刻设备和其他设备;
图2示出根据本发明的第一实施例的量测方法中入射光线和反射光线相对于光栅目标的几何构型;
图3示意性地示出执行图2的方法的量测设备的部件;
图4示意性地显示用于图3的设备中的辐射源的构造;
图5更详细地显示图4的辐射源的一部分;
图6(a)示出在掠入射下的辐射的光斑的伸长的示意性侧视图,其中在图6(b)和图6(c)处示意性地显示出针对不同的入射角的束横截面B和光斑S的示意性图示;
图7示意性地示出在图3的设备的一个实施例中的照射系统的部件;
图8示出在EUV光谱的部分内的波长范围上针对不同材料的吸收率的变化;
图9至图12示出图3的设备的各种应用模式;
图13示出使用ICS源执行透射小角度x射线散射量测术的修改后的设备;和
图14是示出使用由图14的方法进行的测量来控制量测方法和/或光刻制造过程的性能的方法的流程图。
具体实施方式
在详细地描述本发明的实施例之前,提供可以实施本发明的实施例的示例性环境是有指导意义的。
图1在200处显示出光刻设备LA,作为实施大容量光刻制造过程的工业设施的一部分。在本发明的示例中,制造过程适应于用于在诸如半导体晶片等衬底上制造半导体产品(集成电路)。技术人员将了解,可以通过以所述过程的变体来处理不同类型的衬底来制造更多种产品。半导体产品的生产仅用作现今具有巨大商业意义的示例。
在光刻设备(或简称“光刻工具”200)内,在202处示出测量站MEA,在204处示出曝光站EXP。在206处示出控制单元LACU。在这一示例中,每一衬底经历测量站和曝光站以施加图案。例如,在光学光刻设备中,投影系统用于使用经调节的辐射和投影系统将产品图案从图案形成装置MA传递至衬底上。通过在辐射敏感抗蚀剂材料层中形成图案的图像进行所述传递。
此处使用的术语“投影系统”应被广泛地解释为包含适合于所使用的曝光辐射或适于诸如浸没液体的使用或真空的使用等其他因素的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型以及静电型光学系统,或其任何组合。图案形成装置MA可以是将图案赋予由图案形成装置透射或反射的辐射束的掩模或掩模版。公知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以多种方式与用于衬底和图案形成装置的支撑件和定位系统配合,以将所期望的图案施加至在衬底上的许多目标部分。可以使用可编程图案形成装置,而不是使用具有固定图案的掩模版。辐射(例如)可以包括深紫外(DUV)或极紫外(EUV)波带中的电磁辐射。本公开也适用于其他类型的光刻过程,例如,压印光刻术和直写光刻术(direct writing lithography)(例如,通过电子束)。
光刻设备控制单元LACU控制各种致动器和传感器的所有移动和测量以接收衬底W和掩模版MA且实施图案化操作。LACU也包括用以实施与所述设备的操作相关的期望的计算的信号处理和数据处理能力。实际上,控制单元LACU将被实现为许多子单元的系统,每一子单元处置所述设备内的子系统或部件的实时数据获取、处理和控制。
在将图案在曝光站EXP处施加至衬底之前,在测量站MEA处处理衬底以使得可以进行各种预备步骤。预备步骤可以包括使用水平传感器来绘制衬底的表面高度的地图,以及使用对准传感器测量衬底上的对准标记的位置。对准标记名义上被布置成规则的栅格图案。然而,由于形成标记时的误差且也由于贯穿衬底的处理过程发生的衬底的变形,标记偏离理想栅格。因此,除了测量衬底的位置和方向以外,对准传感器实际上也必须详细地测量横越衬底区域的许多标记的位置(如果所述设备将以极高准确度在正确部位处印刷产品特征的话)。所述设备可以是具有两个衬底台的所谓的双平台类型,每一衬底台具有由控制单元LACU控制的定位系统。在一个衬底台上的一个衬底正在曝光站EXP处被曝光的同时,可在测量站MEA处将另一衬底装载至另一衬底台上,使得可进行各种预备步骤。因此,对准标记的测量是非常耗时的,且提供两个衬底台能够使得所述设备的生产率实质上增加。如果在衬底台处于测量站处以及处于曝光站处时位置传感器IF不能够测量衬底台的位置,则可以提供第二位置传感器以使得能够在两个站处追踪衬底台的位置。光刻设备LA可以(例如)是所谓的双平台类型,其具有两个衬底台WTa和WTb以及两个站(曝光站和测量站),在所述两个站之间可以交换衬底台。
在生产设施内,设备200形成“光刻单元”或“光刻簇”的部分,所述“光刻单元”或“光刻簇”也包含涂覆设备208以用于将光敏抗蚀剂和其他涂层施加至衬底W,以用于通过设备200进行图案化。在设备200的输出侧处,提供烘烤设备210和显影设备212以用于将被曝光图案显影成实体抗蚀剂图案。在所有这些设备之间,衬底处置系统关注于支撑衬底以及将衬底从的一设备转移至下一设备。常常被统称为轨道(track)的这些设备处于轨道控制单元的控制下,轨道控制单元自身受到监督控制系统(SCS)238控制,该监督控制系统SCS也经由光刻设备控制单元LACU控制光刻设备。因此,不同系统可被操作以最大化生产率和处理效率。监督控制系统SCS接收配置方案(recipe)数据信息R,所述配置方案数据信息R极其详细地提供待执行以形成每一被图案化的衬底的步骤的定义。
一旦已经在光刻单元中施加且显影图案,图案化的衬底220被转移至其他处理设备(诸如在222、224、226处示出的)。宽范围的处理步骤通过典型制造设施中的各种设备来实施。为示例起见,这一实施例中的设备222是蚀刻站,且设备224执行蚀刻后退火步骤。将其他物理和/或化学处理步骤应用于其他设备226等中。可能需要众多类型的操作以制造实际器件,诸如材料的沉积、表面材料特性的改性(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等等。实际上,设备226可以表示在一个或更多个设备中执行的一系列不同的处理步骤。
众所周知,半导体器件的制造涉及许多次重复这种处理,以在衬底上逐层地以适当材料和图案建造器件结构。因此,到达光刻簇的衬底230可以是新准备的衬底,或它们可以是先前已在所述簇中或在另一设备中完全地被处理的衬底。类似地,依赖于所需的处理,离开设备226时衬底232可被返回以用于在同一光刻簇中的后续图案化操作,它们可被指定以用于不同簇中的图案化操作,或它们可以是待发送以用于切片和封装的成品。
产品结构的每一层需要不同的一组过程步骤,且用于每一层处的设备226的类型可以完全不同。另外,即使在待由设备226施加的处理步骤在大型设施中名义上是相同的情况下,也可能存在并行地工作以对不同衬底执行步骤226的多个假定相同的机器。这些机器之间的设置或故障的小差异可能意味着它们以不同方式影响不同衬底。即使步骤对于每一层相对而言是共同的,诸如蚀刻(设备222)也可以由名义上相同但并行地工作以最大化生产率的多个蚀刻设备来实施。此外,实际上,不同层根据待蚀刻的材料的细节需要不同蚀刻过程,例如,化学蚀刻、等离子体蚀刻,且需要特殊的要求,诸如各向异性蚀刻。
可在其他光刻设备中执行之前和/或后续过程(如刚才所提及的),且可以甚至在不同类型的光刻设备中执行之前和/或后续过程。例如,器件制造过程中的在诸如分辨率和重叠等参数上要求极高的一些层相比于要求较不高的其他层可以在更先进的光刻工具中被执行。因此,一些层可以于浸没式光刻工具中被曝光,而其他层于“干式”工具中被曝光。一些层可以于在DUV波长下工作的工具中被曝光,而其他层使用EUV波长辐射来曝光。
为了由光刻设备曝光的衬底能被正确且一致地曝光,需要检查被曝光的衬底以测量属性,诸如后续层之间的重叠误差、线粗细度、临界尺寸(CD)等。因此,被其中定位了光刻单元LC的制造设施还包括量测系统MET,该量测系统MET接纳已在光刻单元中处理的衬底W中的一些或全部。将量测结果直接地或间接地提供至监督控制系统SCS。如果检测到误差,则可对后续衬底的曝光进行调整,尤其是在量测可以足够迅速地且快速地进行以使得同一批次的其他衬底仍处于待曝光的情况下。另外,已曝光的衬底可以被剥离以及重新加工以改善良率,或被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步曝光。
图1也显示出量测设备240,该量测设备240被提供以用于在制造过程中在所期望的平台处测量产品的参数。现代光刻生产设施中的量测设备的常见示例是散射仪(例如,角分辨散射仪或光谱散射仪),且其可被应用以测量在设备222中的蚀刻之前在220处的被显影的衬底的属性。通过使用量测设备240,可以确定出(例如)诸如重叠或临界尺寸(CD)的重要性能参数并不符合被显影的抗蚀剂中的规定的准确度要求。在蚀刻步骤之前,存在经由光刻簇(litho cluster)剥离被显影的抗蚀剂且重新处理衬底220的机会。另外,众所周知,通过监督控制系统SCS和/或控制单元LACU206随着时间推移进行小调整,可以使用来自设备240的量测结果242以维持光刻簇中的图案化操作的准确性能,由此最小化制造不合格的产品且需要重新加工的风险。当然,量测设备240和/或其他量测设备(未示出)可被应用以测量被处理的衬底232、234以及进入的衬底230的属性。
每一代光刻制造技术(通常被称为技术“节点”)对诸如CD等性能参数具有较严格的规范。量测中的主要挑战之一是期望量测目标尺寸小于通常与量测设备240一起使用的目标。例如,目前的目标是使用具有5微米×5微米的大小或更小的目标。这些小尺寸将允许更广泛地使用所谓的“管芯内”量测,其中目标位于产品结构之间(而不是被限制在产品区域之间的划线区域中);或使用“针对产品(on product)”的量测,其中目标是产品结构自身。当前用于针对产品的CD量测的唯一量测技术是电子显微镜法(CD-SEM)。这种已知的技术显示对未来节点的限制,且仅提供结构的极有限的几何信息。
用以改善最小结构的量测的一种途径是使用例如在极紫外(EUV)、软x射线或甚至硬x射线范围内的较短波长的辐射。例如,包括光谱EUV反射量测术等EUV反射量测术可以被认为是用于未来技术节点的CD量测方法。也可在透射模式(T-SAXS)中或在掠入射模式(GI-SAXS)中考虑诸如小角度x射线散射等x射线散射技术。在上文所提及的专利申请EP15160786中提供在所述背景下的EUV量测的原理和实践。其证明EUV反射量测术提供高灵敏度、相对于过程变化是稳健的(robust)且对于感兴趣参数具有选择性的益处。
出于本公开的目的,硬x射线被认为是波长小于约0.1纳米(例如包括0.01纳米至0.1纳米的范围)的射线。软x射线或EUV是指约从0.1纳米延伸至125纳米的波长范围。这些范围的不同子范围可被选择为适合在调查中的所述结构的尺寸。例如,对于在当前光刻技术的限制下的半导体结构,可以考虑在0.1纳米至20纳米的范围内、或在0.1纳米至10纳米的范围内或在1纳米至5纳米的范围内的波长。在调查中,不仅结构的尺寸,而且结构的材料属性也可能影响使用的波长的选择。例如,为了执行反射量测术,至少结构的背底材料需要在所使用的波长处具有良好反射强度。为了调查埋入的特征,波长应被选择为获得足够穿透覆盖材料的穿透性。
EUV量测术可用以测量在光刻单元内处理的抗蚀剂材料内的结构(显影后检查或ADI),和/或用以在结构已经形成在较硬的材料中之后测量所述结构(蚀刻后检查或AEI)。例如,可在已通过显影设备212、蚀刻设备222、退火设备224和/或其他设备226处理衬底之后使用EUV量测设备244来检查所述衬底。x射线技术通常将由于在x射线波长处抗蚀剂材料中的不良对比度而受限于AEI。
对于大容量制造应用,将期望高亮度辐射源,以减少每一测量的获取时间。当前紧凑的x射线源的有限能力意味着已知的T-SAXS技术苦于极低的生产率,尤其是针对小尺寸的量测目标。当寻求获得用于照射衬底上的小目标区域的极小光斑尺寸时,尤其如此。已知的EUV源的亮度也是受限制的,且波长选择也是受限制的。为了最大化目标结构中的对比度且为了区别不同材料的结构,将期望在宽的范围上对波长精细控制。
图1中所示出的制造系统除了包括光学散射仪240以外,还包括一个或更多个EUV量测设备244。所述EUV量测设备提供额外量测结果246,所述额外量测结果可由监督控制系统SCS使用以实现对品质的进一步控制和光刻制造系统整体性能的改善。类似于光学散射仪240,可在上文所提及的不同制造阶段(诸如ADI和AEI)处应用量测设备244。
EUV反射量测术方法
图2示出一种量测方法,而图3示出一种量测设备300。所述设备可用作用于测量图1的制造系统中所处理的衬底W的参数的EUV量测设备244的示例。所述设备可在除EUV之外的波带中使用。
在图2中,将目标T示意性地表示为包括球面参考系的原点处的一维光栅结构。相对于目标定义了X、Y和Z轴。(当然,原则上可定义任何任意的坐标系统,且每一部件可以具有可相对于所显示的参考系而定义的其自身的局部参考系)。将目标结构的周期方向D与X轴对准。所述附图并非真正的透视图,而仅为示意性图示。X-Y平面是目标和衬底的平面,且为了清楚起见被显示为朝向观看者倾斜,其由圆圈302的倾斜视角表示。Z方向定义垂直于衬底的方向N。在图2中,入射辐射的射线被标注为304且具有掠入射角α。在这一示例中,入射射线304(和形成辐射光斑S的所有入射射线)实质上处于平行于X-Z平面的一平面中,所述平面是由方向D和N定义且由圆圈306表示的平面。并未被目标T的周期性结构散射的反射射线308(即,镜面反射的射线)朝向所述图中的目标右手侧出现,且具有仰角α。
根据目标的衍射属性,其他射线310以与该镜面反射不同的角度散射。这些射线与镜面反射射线之间的分离角将依赖于辐射的波长与目标的特征间隔之间的关系。所述附图并不是按比例的。例如,探测器312可以比所显示的情形更接近于或更远离于目标,目标光栅将很可能相对于探测器非常小;射线310的衍射角可以比所显示的角度宽得多。
为了执行反射量测术,由光电探测器312捕捉射线308和/或散射射线310。探测器312包括(例如)位置敏感EUV探测器,其通常是探测器元件阵列。所述阵列可以是线性阵列,但实际上可提供2维阵列的元件(像素)。例如,探测器313可以是CCD(电荷耦合器件)图像传感器或CMOS图像传感器。所述探测器用以将反射辐射变换成电信号且最终变换成数字数据以用于分析。单个像素探测器原则上可以足够用于一些类型的测量。将通过具有二维图像探测器允许更多的操作灵活性。
根据针对一个或更多个波长和入射角α的一个或更多个值而获得的被测量的光谱,可以通过在下文进一步描述的方式计算目标结构T的属性的测量。
EUV反射量测术设备
转至图3,提供量测设备300用于通过图2的方法测量形成于衬底W上的量测目标T的属性。示意性地表示各种硬件部件。可由相关的技术人员根据熟知的设计原理应用现有部件和被特殊设计的部件的混合来执行这些部件的实际实施方式。提供支撑件(未详细地示出)用于将衬底保持在相对于待描述的其他部件的所期望的位置和方向。辐射源330将辐射提供至照射系统332。照射系统332提供由射线304表示的辐射束,所述射线304(连同形成照射束的其他射线)在目标T上形成被聚焦的辐射光斑。方便地,探测器312和任何辅助光学部件可被认为是检测系统333。
这一示例中的衬底W安装于具有定位系统334的可移动支撑件上,使得可调整射线304的入射角α。在这一示例中,由于便利性,选择倾斜衬底W以改变入射角,同时源330和照射系统332保持是静止的。为了捕获反射的射线308,检测系统333被设置有另外的可移动支撑件336,使得所述可移动支撑件相对于静止照射系统移动过角度2α,或相对于衬底移动过角度α。在反射量测术的掠入射体系中,便利的是通过参考如所显示的衬底的平面定义入射角α。当然,所述入射角可同样被定义为入射射线304的入射方向与垂直于衬底的方向N之间的角度。
在替代的实施例中,可(例如)通过使用圆锥形安装件使入射角在多于一个维度上变化。在上文所提及的欧洲专利申请案第15160786号中详细地描述所述类型的布置和其潜在益处。所述申请的全部内容以引用方式并入本发明中。
提供额外的致动器(未示出)将每一目标T带入至被定位有被聚焦的辐射光斑S的位置。(从另一方向来看,将光斑带入至被定位有目标的位置。)在实际的应用中,在单个衬底上可存在待测量的一连串的单独的目标或目标部位,且在一连串衬底上也可存在待测量的一连串的单独的目标或目标部位。原则上,并不重要的是,当照射系统和探测器保持静止时衬底和目标是否移动和再定向、或当照射系统和探测器移动时衬底是否保持静止,或通过这些技术的组合是否实现不同部件的相对移动。本公开包含所有这些变形。
如已经参考图2所描述的,由目标T和衬底W反射的辐射在其照射到探测器312上之前分割成不同波长的射线的光谱310。通常也将提供用于测量入射束的强度的第二探测器338,以用作参考。处理器340接收来自探测器312和338的信号。针对一个或更多个入射角的所得的反射数据用于处理器中以计算目标的属性(例如,CD或重叠)的测量。
逆康普顿散射辐射源
图4是图3的设备中的辐射源330的示意性方框图。为了向可作为主要量测工具的用于半导体行业中的量测设备300提供高生产率和/或每晶片的高测量密度,期望非常亮的源。为了检查EUV中的产品结构,大约13纳米的波长范围是相关的,类似于用于在最新的光刻设备中的EUV成像的波长。然而,在所述波长范围内,现今市场上没有可销售的紧凑的高亮度的源。本发明的发明人已识别出,可将基于逆康普顿散射(ICS)的源开发成适于用作设备300中的源330的源。预期基于ICS的源能够提供针对不同种类的散射量测术和反射量测术在EUV范围内所需的高亮度。相同或相似的源也可提供用于GI-SAXS或T-SAXS应用的在X射线范围内的辐射。可以设想在(例如)0.01纳米至125纳米的范围内的具有高亮度的可调谐的源。所述可调谐的源将对散射量测术(固定角度,或与角度扫描组合)有益。如果源产生具有某一水平的空间相干性的辐射,则它也可用于所谓的无透镜成像技术(相干衍射成像(CDI),其包括(例如)被称为曲面成像(ankylography)和叠层成像(ptychography)等技术)。
这一示例中的辐射源330基于高能量电子束400与激光辐射401之间的相互作用。此处将提供主要部件和操作的简要描述。针对于ICS源的实施方式的更多细节,参考W SGraves等人的“Compact x-ray source based on burst-mode inverse Comptonscattering at 100kHz”(Physical Review Special Topics-Accelerators and Beams17,120701(2014年))。Graves等人的参考资料的内容以引用方式并入本发明中。
与电子束相关联的部件为射频(RF)电子枪402、线性加速器(linac)404、聚焦组件406、束偏转器408和电子吸收器(electron dump)410。电子枪402包含光电阴极和加速器,使得电子聚束在由来自光电阴极激光器414的光学脉冲412触发时可被发射至线性加速器404中。聚焦组件406可(例如)包括一组四极电磁体。偏转器408可包括偶极电磁体。
种子激光器416将种子辐射的脉冲提供至光电阴极激光器414。在由Graves等人描述的示例中,这些脉冲是1030纳米波长的脉冲,其具有为200MHz的脉冲频率。在操作中光电阴极激光器414选择成组的脉冲、将它们光学地放大,且通过第四谐波产生而将它们转换成近似250纳米波长的脉冲。这些脉冲是被传递至光电阴极以产生电子聚束的脉冲。可选择(例如)频率是100kHz、每组100个脉冲且每秒1000个组的脉冲。线性加速器404将电子加速至在(例如)8MeV至40MeV的范围内的能量。因此,产生电子束400且运用每电子清楚定义的能量将其传递至相互作用点IP。束在被标注为IP的相互作用点处聚焦至其最窄点。在通过相互作用点之后,偏转器408将电子束转向至电子吸收器410。
激光束401由ICS激光器420产生。所述激光束也由从种子激光器416的输出挑选的脉冲作为种子。在1kHz下获得脉冲,将脉冲放大和压缩以产生在1kHz下具有3皮秒脉冲长度的脉冲,其具有1030纳米的波长。将ICS激光器420的输出束422被传递至形成于两个反射镜426、428之间的光学腔424中。图5中示出光学腔和相互作用点的放大细节。
一起参考图4和图5,该腔的输入端处的反射镜426是二向色反射镜,以便传递某些波长且反射其他波长。反射镜428是完全反射反射镜。腔424也包含透镜430和谐波产生器432。谐波产生器432(例如,三硼酸锂(LBO)或硼酸钡(BBO)晶体)通过倍频(第二谐波产生)而将束422中的一部分入射光子转换成515纳米的波长。通过二向色反射镜426的作用,这些光子被捕获在该腔中,以形成与电子束400相互作用的束401。反射镜426也被弯曲以提供聚焦功能。透镜430和反射镜426聚焦被捕获的激光束401以便在所期望的相互作用点IP处限定束腰。在实际的示例中,激光束410与电子束400的方向尽可能紧密地对准,同时仍避免电子束与激光光学装置之间的干涉。Graves等人使用(例如)50mrad(毫弧度)的角度。在未按比例的所述附图中夸大了所述角度。
通过这些装置,已安排在存在密集的激光辐射的脉冲的同时,100个电子聚束的串到达相互作用点IP 100次/秒。所述两个束中的电子与光子之间的非弹性散射将额外能量转移至光子,使得一部分光子实现所期望的频率以产生具有在图2的量测方法中使用的所期望的波长的光子的所期望的输出束440。输出束440具有为(例如)10毫弧度的相对小的发散度,且提供反射聚焦光学装置442以将所述输出束聚焦至所期望的辐射光斑S。在这一点,聚焦光学装置442可被视为形成图3的照射系统332的一部分,和/或形成为源330的部分。为了在EUV波长下使用,在本示例中,光学装置42可包括多层反射镜,或(例如)Au或Ru金属的单一金属反射镜。聚焦光学装置442也可用作分束器,以将参考照射提供至探测器338(未在图4中示出)。可(例如)通过集成于聚焦光学装置中的光栅结构来执行分束。可通过单独的元件来执行分束(如果优选的话)。
返回至电子束400的产生,在射频下由速调管450和波导系统452提供用于产生电子束400的电功率。这些速调管450和波导系统452的电压和电流受控制系统454控制。线性加速器上的电压确定束400中的电子的加速度和最终能量。这直接影响被赋予至激光光子的能量,且因此,确定形成输出束440的辐射的波长。在Graves等人的示例中,期望x射线源且产生具有能量12.4keV的光子,其对应于在x射线带中具有大约0.1纳米的波长。这种x射线源可用于半导体量测中,但仅用在掠入射中。所述源可用于(例如)T-SAXS,且相比于传统的等离子体源(其并不是那么亮)或同步加速器源(其过大且昂贵)可更适合于大容量测量。然而,掠入射中的x射线确实并不适合于调查晶片上的小局部区域内的表面结构。对于13keV或更大的能量,x射线可用于透射通过硅晶片。本发明的发明人已经进一步认识到,ICS源在被设计成用于产生硬x射线时可适应于和调整以仅通过降低电子的能量而产生较低能量光子(较长波长)。在本示例中,这是通过缩小供应至线性加速器的电压来实现,其可通过控制系统454和其他部件的合适设计而非常快速地完成。替代改变线性加速器的电压或除了改变线性加速器的电压以外,或在源不使用线性加速器的情况下,可应用用以调整电子能量的等效步骤。
因此,源330可用于以高亮度产生软x射线或EUV辐射,而不是“硬”x射线或作为对“硬”x射线的替代。如果源也可被调整以产生硬x射线,则将是额外的贡献。出于本发明中所设想的主要目的,可产生(例如)在包括1keV(大约1.2纳米)、0.1keV(12.4纳米)和甚至0.01keV(124纳米)的能量(波长)范围内的EUV辐射。也对应于参数待测量的产品特征的尺寸的这些波长,允许大的入射角,且因此允许更精细的被聚焦的光斑。
另外,虽然传统的等离子体源在EUV光谱上的亮度变化很大,但此处所描述的ICS源可通过对电子枪和线性加速器周围的电压和/或其他参数的适当控制而调谐至几乎是在感兴趣的频带内的任何所要的频率。不仅可在测量与测量之间改变波长,而且也可在测量内改变波长,(例如)以获得“光谱”信息。
此外,通过越来越进一步地减小电子能量,同一源原则上可在甚至更长的波长下操作,进入到所谓的VUV((例如)100纳米至200纳米或125纳米至200纳米)和UV(200纳米至350纳米)以及甚至可见光(400纳米至800纳米)的波带内。反射光学装置442(虽然在EUV波长下是有效的)可同样用于聚焦高达可见光波长范围的辐射。如果仅想要UV或可见光辐射的源,则ICS源将是过度复杂的和昂贵的。然而,具有可操作以实质上仅通过电子控制改变而产生这么多种波长的单一源和光学系统允许量测设备300中的新的灵活性。这样的设备不仅可用在半导体制造中,而且可用在科学调查和/或品质控制应用的广范围的应用中。
用于EUV反射量测术的光学系统
所述源330的示例应用包括使用设备(诸如图3中所示出的设备)进行的EUV反射量测术。在将所述EUV-SR应用至半导体制造中的量测中,可使用小光栅目标。使用探测器312来捕获多个衍射光谱,同时将掠入射角α设定成各种不同的值。在使用目标结构的被检测的光谱和数学模型的情况下,可执行重构计算以达到CD和/或其他感兴趣参数的测量。将在下文中进一步说明示例的重构方法。
在将图2中所示出的目标考虑作为一示例的情况下,线和空间的尺寸将依赖于目标设计,但结构的周期可(例如)小于100纳米、小于50纳米、小于20纳米,甚至小于10纳米和降至5纳米。光栅结构的线可具有与衬底的产品区域中的产品特征相同的尺寸和节距。仅仅出于量测的目的,光栅结构的线事实上可以是产品结构的线,而非形成于专用目标区域内的目标结构的线。可(例如)在EUV光刻过程中通过压印光刻术或通过直写方法形成这些小特征。也可使用现代的DUV光刻术通过所谓的双重图案化过程(通常是多重图案化)来形成这些小特征。这一类技术包括(例如)通过线后端(back end-of the line,BEOL)层中的光刻-蚀刻-光刻-蚀刻(LELE)和自对准双镶嵌的节距加倍。出于解释的目的,将在以下示例中假定CD是感兴趣参数。然而,在存在叠置的两个光栅的情况下,另一感兴趣参数可以是重叠。可基于EUV-SR衍射级中的不对称性来测量所述参数,如下文单独地描述的。可在必要时升高入射角以达到至下部结构的充分穿透。
在多重图案化过程中,不是在一个图案化操作中,而是在两个或多于两个的图案化步骤中,在产品的一个层中形成结构。因此,例如,可使第一组结构与第二组结构交错,且所述第一组结构和第二组结构在不同步骤中形成,以便实现比单独一个步骤可产生的分辨率更高的分辨率。虽然所述组的布置相对于衬底上的其他特征应当是相同且完美的,但当然,每一实际图案展现某一位置偏移。组之间的任何无意的位置偏移皆可被视为一种形式的重叠,且可通过与用以测量层之间的重叠的技术类似的技术进行测量。另外,关于底层或覆盖层中的特征的重叠可在多组特征形成于单一层中时针对每一组而不同,且如果期望的话,可以独立地测量对于这些组中每一组的重叠。
图6示出辐射光斑的伸长率的变化,其对使用掠入射反射量测术实施管芯内量测是具有挑战性的。在图6(a)中,示出衬底W和目标T的横截面。代表性入射射线304和反射射线308被示出,且相对于衬底W成入射角α。因为这些射线是代表性的射线,所以应考虑到入射辐射整体上包括许多射线,所述射线形成在602处示意性地所显示的束。类似地,反射辐射包括许多射线308,所述射线形成在604处示意性地所显示的束。为了利用可能的最小目标,通过聚焦束602的射线形成辐射光斑,使得所述射线会聚以在它们与衬底W的表面会合处精确地限定最小束直径。在所述图示中,入射束602会聚至具有最小直径dB的焦点。反射束408(在忽略散射效应的情况下)包括如所图示的发散射线。因为掠入射角α相对小(或者说,与90°相比更接近于零),所以如投影于目标T上的辐射束602的直径dS比束直径dB大数倍。直径dS与dB之间的比率依赖于角度α的正弦,如图4(a)所显示。
如图6(b)中所显示,为了实现适合于目标T的区域内的圆形光斑S,束602应具有在B处所显示的强椭圆形横截面。当角度α是(例如)5°时,束的最小直径dB应比光斑的可允许直径dS的十分之一更小(sin5°=0.087)。对于较小的入射角,束的最小直径将必须小数十倍、数百倍或甚至数千倍。实际上将不可能获得适合于诸如5平方微米的小目标区域内的光斑。即使在α=5°下,最小束直径dB应为大约436纳米以实现5微米以下的光斑大小。相反,如图6(c)中所看到的,掠入射角α的增加极大地放宽了束404的最小直径要求。椭圆B’可比椭圆B宽得多,以便实现适合于目标T的区域内的光斑S’。例如,对于α=20°,束直径将仅增加三倍。在光斑大小不超过5微米的情况下,最小直径dB可如1.7微米大。
相比于已知技术,特别是X射线反射量测术(GI-XRS),使用在1纳米至100纳米的范围内的EUV波长会允许这些较大的入射角且可在EUV光学设计的能力内促成较小光斑尺寸。上文所描述的源330的能力使能够考虑EUV反射量测术以用于测量大规模制造中的衬底上的小目标。
图7示出图3的设备中的照射系统332的一种可能的布置,且也示出检测系统333的部分。基于逆康普顿散射的辐射源330产生在良好界定的辐射束440中的辐射,如上文参考图4和图5所描述的。可在不必要时省略所显示的光学系统的元件中的一些或全部,以用所述源实现所期望的性能。
在某一发散度下接收具有所期望的波长的EUV辐射束440。在源330的出口(至照射系统332的入口)处,提供第一孔620以用作用于照射系统的入射光瞳。具有较小发散度的入射束622照射于聚焦光学元件或系统上。所述聚焦系统在本图示中通过2维弯曲的反射镜624(例如,椭球形反射镜)来实施。反射镜624产生会聚束626,所述会聚束被聚焦以在衬底W上的目标部位处形成光斑。可选地,提供第二孔630以限定束632在目标处的直径。尤其是,可使孔630在高度和/或宽度上是可调整的,以使得可根据不同的期望/尺寸和不同入射角α产生束B'的不同形状。应理解,弯曲的反射镜624对应于图4中所显示的聚焦光学装置442。
反射束634进入检测系统332,所述反射束将关于目标的结构的信息携载至探测器312(此图中未示出)。可选地,提供第二聚焦反射镜636以减小束在其进入检测系统333时的发散度。二维弯曲的反射镜624、636中的任一个可用一系列两个或多于两个的一维弯曲(圆柱形)反射镜替换。如所图示的,反射镜624也可用作分束器,以将参考照射提供至探测器338。可(例如)通过集成于反射镜中的光栅结构来执行分束。在不背离本公开的原理的情况下,光学系统中的其他变化当然是可能的。
波长选择
用以在不同波长下进行测量的能力可极大地增加帮助处理器PU使用诸如光学散射量测术等方法来准确测量纳米结构的信息多样性。可以用ICS源来选择波长且将其设置为接近于或处于特定材料的吸收边缘,以实现进行(例如)依赖于材料的散射量测术或成像的可能性。
图8示出不同波长的辐射与各种材料的相互作用,所述材料刚好是典型半导体产品中遇到的材料的选择。在四个图表中,水平轴线表示在对数尺度上的波长λ,刚好出于示例起见从0.1纳米延伸至40纳米。如已经提及的,这些波长包含感兴趣特征的尺寸和所期望的测量的分辨率。每一图表中的垂直轴线表示以微米为单位的穿透深度(衰减长度),或给定密度的材料。绝对尺度并不相关,但可看到是的每一材料展现在某些“边缘”两侧的波长的衰减的强的差别,且这些边缘针对不同元素和化合物在不同波长下降。
例如,在顶部图表中,硅Si展现处于大约12.4纳米的强边缘700。在波长702处的测量对硅的存在相对敏感,而在波长704处的测量对硅的存在相对不敏感。在第二图表中,氧展现不同边缘710,且在第三图表中,氧化物SiO2展现对应于Si成份和O成份两者的边缘720、722。第四图表中的碳具有处于又一波长的边缘730。因此,将看到可通过选择波长732而非某一任意波长来更准确地测量抗蚀剂结构(碳是高的)。为了进一步的准确度,以额外的照射和检测步骤为代价,可通过检测在波长732和734(边缘730的两侧)的散射图案或反射而获得差分测量。在边缘700和710的两侧示出相似的差分波长对。相同测量策略应用于(例如)Ge。
利用被发射的照射的波长的可调谐性的ICS源的应用是量测应用,其中使用从可见光至EUV范围的波长以提供关于光刻过程的参数的信息,而使用由ICS源产生的比典型EUV波长短的波长以用于与表征材料属性有关的测量。例如,在改变ICS源的波长的同时,测量底层结构的粗糙度或形貌。对于较长波长,粗糙度信息几乎不存在于反射照射中,而较短波长对诸如表面粗糙度等形貌的局部变化更敏感。也发现在不再存在形貌信息的情况下存在照射波长的阈值。针对较短波长而获得的另外与材料相关的信息是材料化学计量学、密度、电属性和/或光学属性(诸如导电率和电容)。
相比于可利用的基于等离子体的EUV源,使用ICS技术的波长的可控制变化将不导致源亮度的大变化。可在很大程度上避免与已知源相关联的折衷和妥协。
大规模制造中的应用示例
图9示出是经历图1的设施中的处理的一批次或一批晶片中的一个的衬底W。在每一目标部位900处用探测器捕捉一个或更多个散射图案。散射图案被处理器340使用以计算测量且被报告给操作员、LACU 206和/或SCS 238。虽然半导体衬底(晶片)被引用为待使用本发明所描述的类型的ICS源的检测设备所测量的特定类型的产品,但应理解,具有所述源的设备的能力适用于广范围的检查和测量任务。
虽然上文已图示并描述成光栅的形式的目标结构T,但由本公开实现的方法可适应于但不限于与周期性目标结构一起使用,也不限于专用于量测的结构。目标结构可以是产品结构的部分。出于此目的,产品结构不仅包括在成品中预发送的结构,而且包括在制造过程中的中间阶段存在的结构,诸如抗蚀剂图案或硬掩模。
虽然已图示出成经过处理的半导体衬底的形式的产品,但需要检查的另一产品是用作光刻设备中的图案形成装置的掩模或掩模版。目标结构可以是这样的图案形成装置的部分。可在图案形成装置制造期间和之后执行检查以进行品质控制。可在图案形成装置的使用期间周期性地执行检查,(例如)以检测损伤或污染。
示例中的处于检查中的结构具有被施加图案和根据所述图案所形成的结构。然而,本公开的方法也可应用于半导体产品或图案形成装置的空白衬底的检查。在所述情况下的检查可用于层厚度或成分的测量,和/或均一性的测量,和/或用于诸如损伤和污染等缺陷的检测。
图10图示执行增强的测量方法的相同的设备,其中在每一目标部位处或不同目标部位处用探测器来捕捉多个散射图案(每次切换波长)。这些不同波长的结果由处理器340组合成单个测量且被报告给操作员、LACU 206和/或SCS 238。不同波长的数目可以少至两个,或其可以是十个或多于十个。ICS源的高亮度连同在不到一秒、不到半秒或甚至不到十分的一秒切换波长的能力允许以高生产率进行这些多个测量。
通过使用光谱反射量测方法的示例,量测技术的目的是计算形状的一个或更多个参数的测量。通过应用(例如)重构技术,有效地使用严格光学理论计算这些参数的哪些值将引起特定观测到的反射光谱(可选地包括一个或更多个较高衍射级的光谱)。或者说,针对诸如临界尺寸(critical dimension,CD)和重叠等参数来获得目标形状信息。临界尺寸(或CD)是“写”到衬底上的物体的宽度,且是光刻设备在物理上能够在衬底上写的极限。在一些情形下,感兴趣参数可以是CD均一性,而非CD自身的绝对测量。如果期望的话,也可测量诸如光栅高度和侧壁角等其他参数。重叠量测是测量两个目标的重叠以便确定衬底上的两个层是否对准的测量系统。
在结合目标结构(诸如,目标T)的模型化和其反射和/或衍射属性而使用来自EUV量测设备300的结果的情况下,可以多种方式执行所述结构的形状和其他参数的测量。在第一类型的过程中,计算基于目标形状的第一估计(第一候选结构)的衍射图案,且在不同波长下比较所述衍射图案与观测到的反射图案。之后,系统地改变模型的参数且在一系列的迭代中重新计算反射光谱,以产生新的候选结构且因此实现最佳拟合。在第二类型的过程中,提前计算用于许多不同候选结构的反射光谱以产生反射光谱“库”。之后,比较从测量目标观测到的反射光谱与所计算的光谱库以找到最佳拟合。两种方法可一起使用:可从库获得粗略的拟合,之后通过迭代过程以找到最佳拟合。预期在EUV光谱反射量测术中,用于第一类型的过程的计算将是不繁重的。在所述状况下,将无需诉诸于库过程。
这些类型的过程在原理上被技术人员所了解,且可适应于开发具有ICS辐射源的量测设备300。
图11示出执行不同的增强的测量方法的相同设备,其中在每一目标部位处或在不同目标部位处用探测器来捕捉多个散射图案(每次切换波长)。这些不同波长的结果被处理器340使用以获得不同参数的不同测量。例如,可用可见光波长辐射测量传统的量测目标,且之后用EUV辐射测量产品结构。再次,将结果报告给操作员、LACU 206和/或SCS 238。
潜在地,图1的专用的光学散射仪240可由EUV量测设备244内所包括的功能模块替换。
在于2014年5月13日申请的欧洲专利申请号14168067.8中披露这些混合式量测技术的应用和益处,所述专利申请在本发明的优先权日时还未公布。在所述示例中,光学散射仪用以测量一种类型的目标,而x射线量测设备用以通过T-SAXS测量其他目标。在本公开中,同一源可用于两种测量。
图12示出图10和图11的原理的组合,其中对相同或不同目标进行多个类型的测量,每一类型的测量在同一目标上使用多个波长。
通过设置高亮度源极大地促进所有这些不同的操作模式,所述高亮度源可在横越EUV波带的不同波长之间且可选地在较短和/或较长波带之间快速切换。
图13示出源330在T-SAXS量测设备1300中的应用。所述量测设备类似于图3的设备,但衬底被呈现为与辐射束1304成正入射角或接近正入射角且探测器1312位于衬底的后面除外。以“13”开始的其他附图标记实际上类似于图3中以“3”开始的附图标记。如果源产生x射线,则所述源可用于T-SAXS。对于硅产品,大于13keV的光子能量将是最有效的,当前用于T-SAXS中的能量是17keV。然而,甚至在Graves等人的示例中获得的能量12.4keV可能足够高(在给定源亮度的情况下)以使T-SAXS可实行。依赖于机械布置,潜在地定位系统1334可在同一设备内在图3中所显示的反射量测位置与图13中所显示的透射位置之间移动衬底。为了简化机械设计,探测器340和1340可以是分离的探测器。再者,可提供参考探测器(未示出)以实时测量照射的强度。
图14示出测量方法(例如上文所描述的方法)在光刻制造系统的管理中的应用。步骤将在此处列出,且之后被更详细地解释:
S21:处理晶片以在衬底上产生结构
S22:测量横跨衬底的CD和/或其他参数
S23:更新量测配置方案
S24:更新光刻术和/或过程配置方案
在步骤S21处,使用光刻制造系统横跨衬底产生结构。在S22处,使用EUV量测设备244(例如,量测设备300)且可选地使用其他量测设备和信息源以测量在衬底上的结构的属性。在步骤S23处,可选地,根据所获得的测量结果来更新该量测设备和/或其他量测设备240的量测配置方案和校准。
在步骤S24处,比较CD或其他参数的测量值与所期望的值,且使用所述测量值以更新光刻制造系统内的光刻设备和/或其他设备的设定。通过提供具有大规模生产率的EUV量测设备,可改善整个系统的性能。即使在最小技术节点处,也可直接测量产品特征和/或类似产品的特征,且可提供和测量管芯内的目标而不损失过多的区域。
在以上步骤中,假定横跨衬底和横跨多个衬底测量足够的目标使得可导出过程的统计上可靠的模型。无需将CD和其他参数的轮廓(profile)完全表达为横跨衬底的变化。例如,可将其表达成所有场(在衬底W上的不同部位处使用图案形成装置M的图案化的每一情形)所共有的场内轮廓和低阶的场间变化,所述场内变化被重复地叠加在所述低阶的场间变化上。步骤S24中所调整的光刻过程的设定可包括场内设定以及场间设定。所述设定可适用于设备的所有操作,或特定用于特定的产品层。
结论
基于使用基于ICS源的所描述的示例性量测设备,所述技术人员应了解,相同类型的源可用于多种应用和量测系统中,而不仅是用于EUV光谱反射量测术和EUV反射量测术中。例如:
-如果所述源产生x射线,则其可用于T-SAXS,如已经所图示的。
-GI-SAXS变得较可实行的:当尝试在这些浅入射角限制光斑大小时,考虑到光子的损耗可能是巨大的。ICS源的亮度和角展度意味着GI_SAXS也可在大规模环境中成为可实行的。
-如果ICS源可受控制以产生具有足够空间相干性的辐射,则额外的量测技术是可利用的。相干衍射成像(CDI)方法是感兴趣的,诸如叠层成像。源的高亮度以及波长的选择是有用的,这是因为在(例如)叠层成像中,在所述技术中必须获取多个图像以重新获得散射波的相位。类似地,其他CDI技术需要高分辨率数据捕捉,对于所述CDI技术,高亮度也是关键促成要素(key enabler)。
-如所提及,可通过向电子枪和线性加速器提供合适的控制和电力供应布置来产生在VUV、DUV、UV和可见光范围内的辐射。
虽然上文已描述本发明的特定的实施例,但应了解,可以与所描述的不同的其他方式来实施本发明。与如实现于衬底和图案形成装置上的新的目标相关联地,实施例可包括包含一个或更多个机器可读指令序列的计算机程序,所述机器可读指令序列描述在衬底上产生目标、测量衬底上的目标和/或处理测量以获得关于光刻过程的信息的方法。可(例如)在图3的设备中的单元PU内和/或图2的控制单元LACU内执行所述计算机程序。也可提供储存有这样的计算机程序的数据储存介质(例如,半导体存储器,磁盘或光盘)。
尽管已描述成实体掩模版形式的图案形成装置,但本申请中的术语“图案形成装置”也包括传送成数字形式的图案的数据产品,例如以结合可编程图案形成装置使用。
在以下编号的方面中提供根据本发明的另外实施例:
1.一种测量结构的属性的方法,所述方法包括:至少第一次用辐射来照射所述结构;检测与所述结构相互作用之后的所述辐射;和基于所述辐射的属性确定所述结构的属性,其中所述辐射由逆康普顿散射产生,所述辐射具有在0.1纳米至125纳米的范围内的第一波长。
2.如方面1所述的方法,其中用使用范围小于10微米、可选地小于5微米的束直径的所述辐射来照射所述结构。
3.如方面1或2所述的方法,其中至少第二次照射同一所述结构且检测辐射,第二次的所述辐射由逆康普顿散射产生且具有在0.1纳米至125纳米的范围内的第二波长。
4.如方面3所述的方法,其中基于所述第一波长和所述第二波长两者的所检测的辐射来确定所述结构的所述属性。
5.如方面1、2、3或4所述的方法,其中至少第二次照射同一所述结构或不同结构且检测辐射,用于所述第二次照射的所述辐射由逆康普顿散射产生且具有在0.1纳米至125纳米的所述范围之外的第二波长。
6.如方面5所述的方法,其中所述第二波长小于0.1纳米。
7.如方面5所述的方法,其中所述第二波长大于125纳米。
8.如方面5所述的方法,其中所述第二波长大于200纳米。
9.如方面5所述的方法,其中所述第二波长大于350纳米。
10.如方面3至9中任一方面所述的方法,其中在不到一秒内完成所述第一次和所述第二次。
11.如前述方面中任一方面所述的方法,其中通过将电子束和光子束同时地传递至相互作用点来产生所述辐射。
12.如方面11所述的方法,还包括通过调整所述电子束中的电子的能量来一次或更多次地调整所述辐射的所述波长。
13.如方面11或12所述的方法,其中使用电子枪和线性加速器来产生所述电子束。
14.如前述方面中任一方面所述的方法,其中在半导体衬底上形成所述结构。
15.如方面14所述的方法,其中所述照射的方向与平行于所述衬底的方向成大于2°的角度。
16.一种基于逆康普顿散射的辐射源设备,所述辐射源设备包括:
电子源和光子源;和控制器,所述控制器用于控制所述电子源和所述光子源以将一个或更多个电子聚束与光子的脉冲同时地传递至相互作用点,由此一部分所述光子通过至所述辐射源设备的逆康普顿散射获取额外能量且由所述辐射源设备输出,其中所述额外能量能够控制成使得由所述辐射源设备输出的所述光子具有在0.1纳米至125纳米的范围内的波长。
17.如方面16所述的辐射源设备,其中所述额外能量能够控制成使得由所述设备输出的所述光子具有能够至少在0.1纳米至100纳米的所述范围的子范围中自由选择的波长。
18.如方面16或17所述的辐射源设备,其中所述额外能量能够进一步被控制成使得由所述设备在另一次输出的光子具有在0.1纳米至100纳米的所述范围之外的波长。
19.一种用于测量结构的属性的量测设备,所述量测设备包括:
如方面16至18中任一方面所述的辐射源设备;
照射系统,用于将由所述辐射源设备输出的光子在辐射束中传递至所述结构上;和
检测系统,用于在所述光子已与所述结构相互作用之后从所述结构检测辐射。
20.如方面19所述的量测设备,其中所述辐射束在投影至所述结构上时具有小于10微米、可选地小于5微米的范围。
21.如方面19或20所述的量测设备,还包括控制器,所述控制器用于改变所述辐射束中的所述辐射的波长,同时多次检测所述辐射。
22.如方面21所述的量测设备,其中所述控制器能够操作以在一秒内至少两次设定新的波长和检测辐射。
23.如方面19至22中任一方面所述的量测设备,其中所述检测系统被布置成检测从所述结构的反射之后的所述辐射。
24.如方面23所述的量测设备,其中所述照射系统和所述检测系统适用于测量半导体衬底上各种部位处所形成的结构的属性,且其中所述辐射束相对于所述衬底的表面的入射角大于2°。
25.一种器件制造方法,包括:
使用光刻过程将图案从图案形成装置转印至衬底上,所述图案限定至少一个结构;
测量所述结构的一个或更多个属性以确定所述光刻过程的一个或更多个参数的值;和
根据所述所测量的属性在所述光刻过程的后续操作中应用校正;
其中测量所述结构的所述属性包括通过如方面1至15中任一方面所述的方法测量属性。
26.如方面33所述的器件制造方法,其中功能器件图案限定临界尺寸小于50纳米、可选地小于20纳米的产品特征。
尽管在上文已经对在光学光刻术的情形中使用本发明的实施例做出了具体参考,但将了解,本发明可用于其他应用(例如,压印光刻术)中,且在上下文允许的情况下不限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定产生于衬底上的图案。可将图案形成装置的形貌压制到被供应至衬底的抗蚀剂层中,在所述衬底上通过施加电磁辐射、热、压力或其组合固化所述抗蚀剂。在抗蚀剂被固化之后,将图案形成装置移出抗蚀剂,从而在其中留下图案。
关于光刻设备所使用的术语“辐射”和“束”包含所有类型的电磁辐射,包括上文所示出的范围。
术语“透镜”,在上下文允许的情况下,可以是指各种类型的光学组部件中的任一个或组合,包括折射型、反射型、磁性型、电磁型以及静电型光学部件。
对特定实施例的前述描述将因此充分地揭露本发明的一般性质,以至于在不背离本发明的整体构思的情况下,其他人可通过应用所述技术领域内的知识针对各种应用易于修改和/或适应这些特定实施例,而无需过多的实验。因此,基于本发明中所呈现的教导和引导,这些适应和修改意图是在所披露实施例的等价物的涵义和范围内。应理解,本发明中的措词或术语是出于(例如)描述而非限制性的目的,使得本说明书的术语或措辞将由技术人员根据所述教导和引导进行解释。
本发明的广度和范围不应受到上述例示性实施例中的任一个限制,而应仅根据随附的权利要求以及它们的等价物进行限定。

Claims (15)

1.一种测量结构的属性的方法,所述方法包括:
至少第一次用辐射来照射所述结构;
检测与所述结构相互作用之后的所述辐射;和
基于所述辐射的属性确定所述结构的属性,
其中所述辐射由逆康普顿散射产生,所述辐射具有在0.1纳米至125纳米的范围内的第一波长。
2.根据权利要求1所述的方法,其中用使用范围小于10微米、可选地小于5微米的束直径的所述辐射来照射所述结构。
3.根据权利要求1或2所述的方法,其中至少第二次照射同一所述结构且检测辐射,第二次的辐射由逆康普顿散射产生且具有在0.1纳米至125纳米的范围内的第二波长。
4.根据权利要求1、2或3所述的方法,其中至少第二次照射同一所述结构或不同结构且检测辐射,所述第二次的辐射由逆康普顿散射产生且具有在0.1纳米至125纳米的范围之外的第二波长。
5.根据权利要求3或4所述的方法,其中所述第一次和所述第二次在不到一秒内完成。
6.根据任一前述权利要求所述的方法,其中通过将电子束和光子束同时地传递至相互作用点来产生所述辐射。
7.根据权利要求6所述的方法,还包括通过调整所述电子束中的电子的能量来一次或更多次调整所述辐射的所述波长。
8.根据权利要求6或7所述的方法,其中使用电子枪和线性加速器产生所述电子束。
9.一种基于逆康普顿散射的辐射源设备,所述辐射源设备包括:
电子源和光子源;和控制器,所述控制器用于控制所述电子源和所述光子源以将一个或更多个电子聚束与光子的脉冲同时传递至相互作用点,由此一部分所述光子通过至所述辐射源设备的逆康普顿散射获取额外的能量且由所述辐射源设备输出,其中所述额外的能量能够被控制成使得由所述辐射源设备输出的所述光子具有在0.1纳米至125纳米的范围内的波长。
10.一种用于测量结构的属性的量测设备,所述量测设备包括:
根据权利要求9所述的辐射源设备;
照射系统,用于将由所述辐射源设备输出的光子在辐射束中传递至所述结构上;和
检测系统,用于在所述光子已经与所述结构相互作用之后检测来自所述结构的辐射。
11.根据权利要求10所述的量测设备,其中所述辐射束在投影至所述结构上时具有小于10微米、可选地小于5微米的范围。
12.根据权利要求10或11所述的量测设备,还包括控制器,所述控制器用于在多次检测所述辐射的同时改变所述辐射束中的所述辐射的波长。
13.根据权利要求12所述的量测设备,其中所述控制器能够操作以在一秒内至少两次设定新波长和检测辐射。
14.根据权利要求10至13中任一项所述的量测设备,其中所述检测系统被布置成检测从所述结构反射之后的所述辐射。
15.根据权利要求14所述的量测设备,其中所述照射系统和所述检测系统适用于测量形成于半导体衬底上的各种部位处的结构的属性,且其中所述辐射束相对于所述衬底的表面的入射角大于2°。
CN201680047436.3A 2015-08-12 2016-08-03 量测方法、辐射源、量测设备及器件制造方法 Active CN107924118B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15180740 2015-08-12
EP15180740.1 2015-08-12
PCT/EP2016/068479 WO2017025392A1 (en) 2015-08-12 2016-08-03 Metrology methods, radiation source, metrology apparatus and device manufacturing method

Publications (2)

Publication Number Publication Date
CN107924118A true CN107924118A (zh) 2018-04-17
CN107924118B CN107924118B (zh) 2022-08-09

Family

ID=53800909

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680047436.3A Active CN107924118B (zh) 2015-08-12 2016-08-03 量测方法、辐射源、量测设备及器件制造方法

Country Status (6)

Country Link
US (2) US10342108B2 (zh)
KR (1) KR102098035B1 (zh)
CN (1) CN107924118B (zh)
IL (1) IL256816B (zh)
TW (1) TWI626422B (zh)
WO (1) WO2017025392A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798758B (zh) * 2020-08-05 2023-04-11 荷蘭商Asml荷蘭公司 製程偏差判定方法、校準方法、檢測工具、製造系統及樣品

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9867272B2 (en) * 2012-10-17 2018-01-09 Cornell University Generation and acceleration of charged particles using compact devices and systems
KR102098035B1 (ko) 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법
CN109313390B (zh) 2016-04-28 2021-05-25 Asml荷兰有限公司 Hhg源、检查设备和用于执行测量的方法
WO2017186483A1 (en) 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
JP6727327B2 (ja) 2016-05-04 2020-07-22 エーエスエムエル ネザーランズ ビー.ブイ. 照明放射を発生するための方法及び装置
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
EP3361315A1 (en) 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
EP3370486A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
EP3410211A1 (en) 2017-05-31 2018-12-05 Stichting VU Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
CN111263918B (zh) 2017-05-31 2022-11-08 Asml荷兰有限公司 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质
CN110799903B (zh) 2017-06-20 2021-11-16 Asml荷兰有限公司 确定边缘粗糙度参数
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
US11333621B2 (en) * 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
EP3435161A1 (en) 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
US11317500B2 (en) * 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
EP3518041A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. Inspection apparatus and inspection method
US10714303B2 (en) 2018-07-19 2020-07-14 International Business Machines Corporation Enabling high throughput electron channeling contrast imaging (ECCI) by varying electron beam energy
EP3874914A1 (en) * 2018-11-02 2021-09-08 Technische Universiteit Eindhoven Tunable source of intense, narrowband, fully coherent, soft x-rays
EP3686673A1 (en) 2019-01-25 2020-07-29 ASML Netherlands B.V. Wavefront sensor and associated metrology apparatus
KR20220005913A (ko) * 2020-07-07 2022-01-14 삼성전자주식회사 퓨필 이미지 기반 패턴 균일도 측정 장치와 방법, 및 그 측정 방법을 이용한 마스크 제조방법
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011082821A1 (de) * 2011-09-16 2012-10-04 Carl Zeiss Smt Gmbh EUV-Strahlungsquelle

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5247562A (en) 1992-07-16 1993-09-21 The Massachusetts Institute Of Technology Tunable source of monochromatic, highly-directional x-rays and a method for producing such radiation
JP2000294523A (ja) 1999-04-01 2000-10-20 Sony Corp 半導体製造装置および半導体装置の製造方法
JP2002139758A (ja) 2000-10-31 2002-05-17 Sony Corp 光短波長化装置
JP2002280200A (ja) 2001-03-21 2002-09-27 Sumitomo Heavy Ind Ltd X線発生装置及び発生方法
US7382861B2 (en) 2005-06-02 2008-06-03 John M. J. Madey High efficiency monochromatic X-ray source using an optical undulator
JP4822267B2 (ja) 2006-04-04 2011-11-24 独立行政法人産業技術総合研究所 二帯域短パルス高輝度光源装置
US20080073572A1 (en) 2006-07-20 2008-03-27 Siegfried Schwarzl Systems and methods of measuring power in lithography systems
US7920676B2 (en) 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
JP5683236B2 (ja) 2010-11-29 2015-03-11 兵庫県 形状測定装置
JP5208224B2 (ja) 2011-01-12 2013-06-12 富士フイルム株式会社 放射線撮影装置、及び放射線撮影システム
JP2013171630A (ja) 2012-02-17 2013-09-02 Canon Inc X線発生装置
US9398681B2 (en) 2012-07-20 2016-07-19 The Board Of Trustees Of The Leland Stanford Junior University Distributed coupling high efficiency linear accelerator
WO2015086259A1 (en) * 2013-12-13 2015-06-18 Asml Netherlands B.V. Radiation source, metrology apparatus, lithographic system and device manufacturing method
WO2015167753A2 (en) 2014-04-03 2015-11-05 Massachusetts Institute Of Technology Compact x-ray source for cd-saxs
US10060865B2 (en) * 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
KR102098035B1 (ko) 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011082821A1 (de) * 2011-09-16 2012-10-04 Carl Zeiss Smt Gmbh EUV-Strahlungsquelle

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
P.OLIVA ET AL.: "Quantitative evaluation of single-shot inline phase contrast imaging using an inverse compton x-ray source", 《APPLIED PHYSICS LETTERS》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798758B (zh) * 2020-08-05 2023-04-11 荷蘭商Asml荷蘭公司 製程偏差判定方法、校準方法、檢測工具、製造系統及樣品

Also Published As

Publication number Publication date
TWI626422B (zh) 2018-06-11
IL256816A (en) 2018-03-29
US10555407B2 (en) 2020-02-04
US10342108B2 (en) 2019-07-02
CN107924118B (zh) 2022-08-09
WO2017025392A1 (en) 2017-02-16
US20180220518A1 (en) 2018-08-02
TW201715198A (zh) 2017-05-01
KR20180030191A (ko) 2018-03-21
US20190246480A1 (en) 2019-08-08
IL256816B (en) 2022-05-01
KR102098035B1 (ko) 2020-04-08

Similar Documents

Publication Publication Date Title
CN107924118A (zh) 量测方法、辐射源、量测设备及器件制造方法
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US10816906B2 (en) HHG source, inspection apparatus and method for performing a measurement
TWI613512B (zh) 用於識別一產品結構中之缺陷之方法、檢測設備及電腦程式產品及相關器件製造方法
CN109313393A (zh) 计量设备
CN107430352A (zh) 量测方法、量测设备和器件制造方法
JP7427772B2 (ja) 波長分解軟x線反射率測定に基づく半導体計測のための方法及びシステム
TWI634394B (zh) 產生照明輻射的方法及設備
TW201820053A (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
CN110291464A (zh) 用于预测测量方法的性能的方法和设备、测量方法和设备
CN110312968B (zh) 对准衍射光学系统的方法和衍射光学元件
TWI833979B (zh) 計量系統及方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant