KR102098035B1 - 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법 - Google Patents

계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR102098035B1
KR102098035B1 KR1020187004699A KR20187004699A KR102098035B1 KR 102098035 B1 KR102098035 B1 KR 102098035B1 KR 1020187004699 A KR1020187004699 A KR 1020187004699A KR 20187004699 A KR20187004699 A KR 20187004699A KR 102098035 B1 KR102098035 B1 KR 102098035B1
Authority
KR
South Korea
Prior art keywords
radiation
periodic structure
wavelength
source
photons
Prior art date
Application number
KR1020187004699A
Other languages
English (en)
Other versions
KR20180030191A (ko
Inventor
알렉세이 올레고비치 폴랴코프
리챠드 퀸타닐라
바딤 예브제너비치 바니너
코엔 아드리아누스 베르쉐렌
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180030191A publication Critical patent/KR20180030191A/ko
Application granted granted Critical
Publication of KR102098035B1 publication Critical patent/KR102098035B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706847Production of measurement radiation, e.g. synchrotron, free-electron laser, plasma source or higher harmonic generation [HHG]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

리소그래피에 의해 제조되거나 리소그래피에서 사용되는 타겟 구조체(T)는 역콤프턴 산란에 의해 생성된 EUV 방사선(304)으로 적어도 제 1 회 상기 구조체를 조사함으로써 검사된다. 반사 또는 투과과정에서 타겟 구조체에 의해 산란된 방사선(308)이 검출되고(312) 타겟 구조체의 특성이 검출된 산란된 방사선에 기초하여 프로세서(340)에 의해 계산된다. 방사선은 0.1 nm 내지 125 nm의 EUV 범위에 속하는 제 1 파장을 가질 수 있다. 동일한 소스를 사용하여 전자 에너지를 조절하면서, 구조체는 EUV 범위에 속하는 상이한 파장, 및/또는 더 짧은(x-선) 파장 및/또는 더 긴(UV, 가시) 파장으로 수 회 조사될 수 있다. 역콤프턴 산란 소스 내에서 전자 에너지를 고속 스위칭함으로써, 상이한 파장에서의 조사가 초당 수 회 수행될 수 있다.

Description

계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법
관련 출원에 대한 상호 참조
본 출원은 2015 년 8 월 12 일에 출원되고 그 전체 내용이 원용되어 본원에 통합되는 EP 출원 번호 제 15180740.1 의 우선권을 주장한다.
본 발명은, 예를 들어 리소그래피 기법에 의한 디바이스의 제조에서 사용가능한 계측용 방법, 및 장치 및 리소그래피 기법을 사용하는 디바이스의 제조 방법에 관한 것이다. 임계 치수(선폭)를 측정하는 방법이 이러한 계측의 특정 응용예로서 설명된다. 본 발명의 목적을 달성하기 위하여, 계측은 특정 치수 또는 재료 특성의 정량적 측정에만 한정되지 않고, 예를 들어 결함의 검출을 포함하는 광범위한 목적의 검사를 포함한다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경(SEM)을 포함하여, 이러한 측정을 수행하기 위한 다양한 툴들이 공지되어 있다. 비대칭에 관련된 파라미터를 측정하기 위하여 다른 전문화된 툴들이 사용된다. 이러한 파라미터 중 하나는, 디바이스 내의 두 층들의 정렬 정확도인 오버레이이다. 최근, 다양한 형태의 산란계가 리소그래피 분야에서 사용되도록 개발되어 왔다. 이러한 디바이스들은 방사선 빔을 타겟 위로 지향시키고 산란된 방사선의 하나 이상의 속성 - 예를 들어, 파장의 함수인 단일 반사각에서의 세기; 반사된 각도의 함수인 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 -을 측정하여 스펙트럼을 획득하고, 타겟의 관심 속성은 이러한 스펙트럼으로부터 결정될 수 있다. 관심 속성은 다양한 기법: 예를 들어, 엄밀한 커플링된 파 분석(rigorous coupled wave analysis) 또는 유한 요소 방법(finite element method)과 같은 반복적 접근법에 의한 타겟 구조체의 재구성; 라이브러리 검색; 및 주된 컴포넌트 분석에 의하여 결정될 수 있다. SEM 기법과 비교할 때, 광학 산란계는 더 넓은 면적, 심지어 제품 유닛의 전부에서 훨씬 더 높은 쓰루풋으로 사용될 수 있다.
그러나, 기술이 발전하면서 성능 사양은 더 엄격해지고 있다. 현재의 방법의 다른 제한사항은, 이들이 실제 제품 피쳐의 통상적 치수보다 훨씬 더 큰 치수의 전용 계측 구조체가 필요한 광파장으로 이루어진다는 것이다. 따라서, 이러한 계측 구조체 상에서 이루어진 측정은 실수 제품 구조체를 간접적으로 표시할 뿐이다. 특정 관심 파라미터는 선폭(CD)이고, CD 측정을 위한 적합한 소타겟 방법은 아직 고안된 바 없다.
더 높은 해상도의 측정을 얻기 위하여, 예를 들어 0.1 내지 125 nm의 범위에 속한 파장을 가지는 EUV 방사선을 사용하는 것도 역시 고려되어 왔다. EUV 방사선은 측정될 구조체와 동일한 수준의 파장을 가지기 때문에 특히 매력적이다. 예를 들어, 분광식 EUV 반사측정법이 본 출원의 우선일까지는 아직 공개되지 않은 유럽 특허 출원 번호 제 15160786 에서 제안된다. 불행하게도, 이용가능한 방사선 소스가 제한되기 때문에, 인-다이 격자, 또는 제품 구조체 자체와 같은 소타겟 상에서 대량 제조에서의 대량 측정에 적합한 속도로 계측을 하게 하는 기술은 아직 존재하지 않는다. 이상적인 방사선 소스는 콤팩트하고 저렴하며, 파장의 자유 선택과 소타겟 영역 내로 포커싱할 수 있는 양호한 능력과 더불어 높은 휘도를 가져야 할 것이다.
밝고 콤팩트한 x-선 소스가 최근에 역콤프턴 산란(inverse Compton scattering; ICS)에 기초하여 설명된 바 있다. 이것은 W S Graves 등의 "Compact x-ray source based on burst-mode inverse Compton scattering at 100 kHz", Physical Review Special Topics - Accelerators and Beams 17, 120701 (2014)에서 설명된다. Graves 등의 참조 문헌과 연관된 특허 출원의 내용이 본 명세서에서 원용에 의해 통합된다. 높은 휘도의 전자를 얻기 위해서, x-선 계측 애플리케이션에서 사용할 바람직한 고휘도를 얻기 위해 선형 가속기가 사용된다. x-선 소스에서 사용되는 선형 가속기의 세부사항은 공개된 특허 출원 제 US2014191654A1(Tantawi & Neilson)에서 제공된다. 전자를 가속하기 위한 다른 방법들이 다른 연구자들에 의해 개발되고 있다.
본 발명은 전술된 광학 및 X-선 방법의 단점들 중 하나 이상을 극복하는, 소타겟 계측의 대안적인 방법을 제공하는 것을 목적으로 한다. 특히, 예를 들어, 반도체 기판상의 제품 영역 내의 위치에서 파라미터들을 측정하되, 이러한 측정이 수행되는 속도를 개선하면서 현재와 장래의 리소그래피 기술에 의해 제작된 피쳐들의 더 작은 치수에 적응할 필요가 있다.
발명자들은, 역콤프턴 산란에 기초한 소스가 EUV(소프트 X-선) 범위에서 밝고 제어가능한 소스를 제공하여, 소타겟 상의 대량 계측이 가능해지게 하도록 적응될 수 있다는 것을 인식했다. 이렇게 적응된 동일한 소스는, 반도체 제품 뿐만 아니라 자연적이거나 인공적인 다른 타입의 구조체들을 검사하기 위해 사용될 수 있다.
본 발명은 제 1 양태에서 구조체의 특성을 측정하는 방법으로서, 방사선으로 상기 구조체를 적어도 제 1 회 조사하는 단계, 상기 구조체와의 상호작용 이후에 상기 방사선을 검출하는 단계 및 상기 방사선의 특성에 기초하여 상기 구조체의 특성을 결정하는 단계를 포함하고, 상기 방사선은 역 콤프턴 산란에 의해 생성되고, 상기 방사선은 0.1 내지 125 nm의 범위에 속하는 제 1 파장을 가지는, 구조체 특성 측정 방법을 제공한다.
본 발명은 역 콤프턴 산란에 기초하는 방사선 소스 장치로서, 상기 장치는 전자 소스 및 광자 소스, 및 전자의 하나 이상의 다발을 광자의 펄스와 동시에 상호작용 포인트로 전달하여, 역 콤프턴 산란에 의해 추가 에너지를 획득하는 광자의 일부가 상기 장치에 의해 출력되게끔 상기 전자 소스 및 광자 소스를 제어하기 위한 제어기를 포함하고, 상기 추가 에너지는, 상기 장치에 의해 출력되는 광자가 0.1 nm 내지 125 nm의 범위에 속하는 파장을 가지도록 제어가능한, 방사선 소스 장치를 더 제공한다.
발명자들은 역콤프턴 산란에 기초한 소스가 EUV 파장 및/또는 x-선 뿐만 아니라 매우 광범위한 파장의 방사선을 전달하도록 설계되고 제어될 수 있다는 것을 인식했다. 예를 들어, 동일한 소스는 EUV 파장에서의 방사선에 추가하여 EUV, UV, 및 심지어 가시 파장, 및/또는 x-선 파장 범위에 속하는 방사선을 제공하도록 동작가능할 수 있다.
본 발명은 구조체의 특성을 측정하는 데에 사용되기 위한 계측 장치로서, 전술된 바와 같은 본 발명에 따른 방사선 소스 장치; 상기 방사선 소스 장치에 의해서 방사선 빔 내에 출력되는 광자를 상기 구조체 상으로 전달하기 위한 조명 시스템; 및 상기 광자가 상기 구조체와 상호작용한 이후에 상기 구조체로부터 나오는 방사선을 검출하기 위한 검출 시스템을 포함하는, 계측 장치를 제공한다.
특정한 구현형태에서, 이러한 장치는 자동화된 웨이퍼 핸들러로부터 반도체 웨이퍼(예를 들어 300 mm 웨이퍼)를 수용하도록 적응된다. 다른 애플리케이션에서, 장치는 자연적이거나 인공적인 임의의 타입의 구조체의 측정을 위해 적응될 수 있다.
또 다른 양태에서, 본 발명은 디바이스 제조 방법으로서, 리소그래피 프로세스를 사용하여 패터닝 디바이스로부터의 패턴을 기판 상에 전사하는 단계로서, 상기 패턴은 적어도 하나의 주기적 구조체를 형성하는, 단계; 상기 리소그래피 프로세스의 하나 이상의 파라미터에 대한 값을 결정하도록, 상기 주기적 구조체의 하나 이상의 특성을 측정하는 단계; 및 측정된 특성에 따라, 상기 리소그래피 프로세스의 후속 동작에 정정을 적용하는 단계를 포함하고, 상기 주기적 구조체의 특성을 측정하는 단계는, 전술된 바와 같은 본 발명에 따른 방법에 의해 특성을 측정하는 단계를 포함하는, 디바이스 제조 방법을 더 제공한다.
본 발명의 다른 피쳐 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
이제, 본 발명의 실시예들이 첨부된 도면을 참조하여 오직 예시에 의하여 설명될 것이다:
도 1 은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다;
도 2 는 본 발명의 제 1 실시예에 따른 계측 방법에서 격자 타겟에 관련된 입사 광선과 반사 광선의 기하학적 구조를 예시한다;
도 3 은 도 2 의 방법을 수행하는 계측 장치의 컴포넌트를 개략적으로 도시한다;
도 4 는 도 3 의 장치에서 사용되는 방사선 소스의 구성을 개략적으로 도시한다;
도 5 는 도 4 의 방사선 소스의 일부를 상세하게 도시한다;
도 6 의 (a)는 그레이징 입사 시의 방사선의 스폿의 신장을 개략적인 측면도로 예시하며, 빔 단면(B)의 개략적인 표현에서 상이한 입사각에 대한 스폿(S)이(b 및 c)에서 개략적으로 도시된다;
도 7 은 도 3 의 장치의 일 실시예에 있는 조명 시스템의 컴포넌트를 개략적으로 도시한다;
도 8 은 EUV 스펙트럼의 일부 내의 파장 범위에 걸친, 상이한 재료의 흡수의 변화를 예시한다;
도 9 내지 도 12 는 도 3 의 장치를 적용하는 다양한 모드를 예시한다;
도 13 은 투과성 소각도 x-선 산란측정을 수행하기 위한, ICS 소스를 사용한 변경된 장치를 도시한다; 그리고
도 14 는 도 14 의 방법으로 수행된 측정을 사용하는 계측 방법 및/또는 리소그래피 제조 프로세스의 성능을 제어하는 방법을 예시하는 흐름도이다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 대량의 리소그래피 제조 프로세스를 구현하는 산업 설비의 일부로서, 리소그래피 장치(LA)를 200 에서 도시한다. 제공된 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(집적 회로)을 제조하기 위해 적응된다. 당업자는, 이러한 프로세스의 변형에서 상이한 타입의 기판을 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202 에 측정 스테이션(MEA)이 도시되고, 204 에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206 에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학적 리소그래피 장치에서, 컨디셔닝된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 투영 시스템이 사용된다. 이것은 패턴의 이미지를 방사선-감응 레지스트 재료의 층에 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 액침액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 패터닝(MA) 디바이스는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 잘 알려진 바와 같이, 투영 시스템은 기판에 대한 지지 및 포지셔닝 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그래밍가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외선(DUV) 또는 극자외(EUV) 파대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 기판(W) 및 레티클(MA)을 수용하고 패터닝 동작을 구현한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어나게 된다. 결과적으로, 이러한 장치가 제품 피쳐를 매우 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다. 이러한 장치는 두 개의 기판 테이블을 가지는 소위 듀얼 스테이지 타입일 수 있고, 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 포지셔닝 시스템이 있다. 노광 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판은 측정 스테이션(MEA)에 있는 다른 기판 테이블에 로딩될 수 있어서, 다양한 준비 단계들이 수행될 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 예를 들어, 리소그래피 장치(LA)는 두 개의 기판 테이블(WTa 및 WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입일 수 있다.
생산 설비 내에서, 장치(200)는, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및 현상 장치(212)가 제공된다. 이러한 장치들 모두 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)(238)에 의해 제어되는 트랙 제어 유닛의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
리소셀 내에서 패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226 에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 이식 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(226)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계(226)를 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터가 매우 중요한 일부 층들은 디바이스 제작 프로세스 중에 덜 중요한 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 액침 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 계측이 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
도 1 에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240)도 역시 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 장치의 공통적인 예는, 예를 들어 각도-분해된 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220 에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 역시 잘 알려진 바와 같이, 장치(240)로부터의 계측 결과(242)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(206)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다. 물론, 계측 장치(240) 및/또는 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다.
리소그래피 제조 기술의 각각의 세대(일반적으로 기술 "노드"라고 불림)는 CD와 같은 성능 파라미터에 대해 더 엄격한 사양을 가진다. 계측 시의 주된 어려움 중 하나는, 계측 타겟 크기가 계측 장치(240) 내에서 통상적으로 사용되는 타겟보다 더 작을 것이 소망된다는 것이다. 예를 들어, 본 발명의 목적은 5μm x 5μm 이하의 크기를 가지는 타겟을 사용하는 것이다. 이렇게 크기가 작으면, 타겟들이 제품 구조체들 사이에 위치되는(제품 영역들 사이의 스크라이브 레인 영역 내로 한정되는 대신에) 소위 "인-다이" 계측 또는 타겟들이 제품 구조체 자체인 "제품상(on product)" 계측에서 더 널리 사용될 수 있다. 제품상 CD 계측을 위해 현재 사용되는 유일한 계측 기법은 전자 현미경법(CD-SEM)이다. 이러한 공지된 기법은 장래 노드에 대해서는 제한되며, 구조체에 대해 매우 제한된 기하학적 정보만을 제공한다.
최소 구조체의 계측을 개선하는 하나의 접근법은 방사선의 더 짧은 파장, 예를 들어 극자외(EUV), 소프트 x-선 또는 심지어 하드 x-선 범위에 있는 파장을 사용하는 것이다. 예를 들어, 분광식 EUV 반사측정법을 포함하는 EUV 반사측정법이 장래의 기술적 노드를 위한 CD-계측 방법으로서 고려될 수 있다. 소각도 x-선 산란과 같은 X-선 산란 기법 역시 투과 모드(T-SAXS) 또는 그레이징 입사 모드(GI-SAXS)에서 고려될 수 있다. 이러한 콘텍스트에서의 EUV 계측법의 이론과 실무가 전술된 특허 출원 제 EP15160786 에 제공된다. 이러한 문헌에서 EUV 반사측정이 높은 민감도, 프로세스 변동에 대한 견실성 및 관심 파라미터에 대한 선택성이라는 이점을 제공한다는 것이 증명될 것이다.
본 발명의 목적을 위하여, 하드 x-선은 약 0.1 nm보다 적은, 예를 들어 0.01 내지 0.1 nm의 범위를 포함하는 범위를 가진 광선이라고 간주된다. 소프트-x-선 또는 EUV란 대략적으로 0.1 nm 내지 125 nm 범위의 파장을 가리킨다. 조사 대상인 구조체의 치수에 맞도록 이러한 범위의 상이한 서브-범위가 선택될 수 있다. 예를 들어, 현재의 리소그래피 기법의 한계에 있는 반도체 구조체의 경우, 0.1 내지 20 nm, 또는 0.1 내지 10 nm, 또는 1 내지 5 nm의 범위에 있는 파장이 고려될 수 있다. 구조체의 크기뿐만 아니라 그들의 재료 특성도 검사에서 사용할 파장을 선택하는 데에 영향을 줄 수 있다. 예를 들어, 반사측정을 수행하기 위해서, 적어도 구조체의 배경 재료는 사용되는 파장에서 양호한 반사 강도를 요구한다. 매립된 피쳐를 조사하기 위해서, 파장은 오버라잉 재료를 통한 충분한 침투를 얻도록 선택돼야 한다.
EUV 계측은 리소 셀 내에서 처리되는 레지스트 재료 내의 구조체를 측정하고(전사후검사(After Develop Inspection; ADI)) 및/또는 구조체가 더 견고한 재료 내에 형성된 이후에 구조체를 측정하기 위해(에칭후 검사(After Etch Inspection; AEI)) 사용될 수 있다. 예를 들어, 기판은 전사 장치(212), 에칭 장치(222), 어닐링 장치(224) 및/또는 다른 장치(226)에 의해 처리된 이후에 EUV 계측 장치(244)를 사용하여 검사될 수 있다. x-선 파장에서 레지스트 재료 내의 콘트라스트가 열악하기 때문에 X-선 기법은 일반적으로 AEI로 제한될 것이다.
대량 제조 애플리케이션을 위해서는, 각각의 측정에 대한 획득 시간을 감소시키려면 고휘도 방사선 소스가 바람직할 것이다. 현재의 콤팩트한 x-선 소스의 파워가 제한된다는 것은, 공지된 T-SAXS 기법이, 특히 작은 크기 계측 타겟에 대해서 매우 낮은 쓰루풋을 겪게 된다는 것을 의미한다. 이것은 기판 상의 소타겟 영역을 조명하기 위해서 매우 작은 스폿 크기를 얻으려고 하는 경우에 특히 그러하다. 알려진 EUV 소스도 역시 휘도가 제한되고, 파장의 선택에 있어서도 제한된다. 타겟 구조체 내의 콘트라스트를 최대화하고 상이한 재료의 구조체들을 구별하기 위하여, 넓은 범위에 걸친 파장의 구조체가 바람직할 것이다.
도 1 에 도시된 제조 시스템은 광학 산란계(240)에 추가하여 하나 이상의 EUV 계측 장치(244)를 포함한다. 이러한 EUV 계측 장치는 품질을 더욱 제어하고 리소그래피 제조 시스템 전체로서의 성능을 개선하도록 감독 제어 시스템(SCS)에 의해 사용될 수 있는 추가적 계측 결과(246)를 제공한다. 광학적 산란계(240)와 유사하게, 계측 장치(244)는 제조 단계의 상이한 스테이지, 예컨대 전술된 ADI 및 AEI에 적용될 수 있다.
EUV 반사측정법
도 2 는 계측 방법을 예시하고 도 3 은 계측 장치(300)를 예시한다. 이러한 장치는 도 1 의 제조 시스템에서 처리된 기판(W)의 파라미터를 측정하기 위한 EUV 계측 장치(244)의 일 예로서 사용될 수 있다. 장치는 EUV가 아닌 파대역에서 사용될 수 있다,
도 2 에서, 타겟(T)은 구형 기준 프레임의 원점에 1-차원의 격자 구조체를 포함하는 것으로 개략적으로 표현된다. 축들(X, Y 및 Z)이 타겟에 대해 규정된다. (물론, 이론 상 임의의 좌표계가 규정될 수 있고, 각각의 컴포넌트는 도시된 것에 대해 규정될 수 있는 자기 자신의 로컬 기준 프레임을 가질 수 있다.) 타겟 구조체의 주기 방향(D)은 X 축과 정렬된다. 도면은 완전한 투시도가 아니고, 개략적인 예시일 뿐이다. X-Y 평면은 타겟 및 기판의 평면이고, 명확화를 위하여 관찰자에 대해 기울어진 것으로 원(302)의 비스듬한 도면에 의해 표현되어 도시된다.Z 방향은 기판에 수직인 방향(N)을 규정한다. 도 2 에서, 입사 방사선 중 하나의 광선은 304 로 명명되고 그레이징 입사각 α를 가진다. 이러한 예에서, 입사 광선(304)(및 방사선 스폿(S))을 형성하는 모든 입사 광선)은 X-Z 평면에 평행인 평면, 즉 방향(D) 및 N을 규정하고 원(306)으로 표현되는 평면에 실질적으로 놓여 있다. 타겟(T)의 주기적 구조체에 의해 산란되지 않는 반사된 광선(308)(즉, 경면 반사의 광선)은 다이어그램에서 타겟의 우측을 향해 고도각 α로 나오게 된다.
다른 광선(310)은 타겟의 회절 특성에 따라서 경면 반사와 상이한 각도에서 산란된다. 이러한 광선과 경면 광선 사이의 분리각은 방사선의 파장과 타겟의 피쳐의 스페이싱 사이의 파장에 따라 달라질 것이다. 도면은 반드시 척도에 맞게 도시되는 것은 아니다. 예를 들어, 검출기(312)는 도시된 것보다 타겟에 더 가깝거나 더 멀 수 있고, 타겟 격자는 검출기에 비해서 작을 가능성이 높을 것이다; 광선(310)의 회절각은 표시된 것보다 훨씬 더 클 수 있다.
반사측정을 수행하기 위해, 광선(308) 및/또는 산란된 광선(310)이 광검출기(312)에 의해 캡쳐된다. 검출기(312)는, 통상적으로 검출기 요소들의 어레이인 위치-감응 EUV 검출기를 포함한다. 어레이는 선형 어레이일 수 있고, 하지만 실무상 엘리먼트(픽셀)의 2-차원의 어레이가 제공될 수도 있다. 검출기(313)는 예를 들어 CCD(전하 결합 디바이스) 이미지 센서 또는 CMOS 이미지 센서일 수 있다. 이러한 검출기는 반사된 방사선을 전기 신호로 그리고 종국에는 분석하기 위한 디지털 데이터로 변환하기 위해 사용된다. 이론 상 일부 타입의 측정을 위해서는 단일 픽셀 검출기로 충분할 수 있다. 2-차원의 이미지 검출기를 가진다면 더 많은 동작 유연성이 가능해질 것이다.
하나 이상의 파장과 입사각 α의 하나 이상의 값에 대해 획득된 측정된 스펙트럼으로부터, 타겟 구조체(T)의 특성의 측정이 상세히 후술되는 방식으로 계산될 수 있다.
EUV 반사측정 장치
도 3 으로 돌아가면, 기판(W) 상에 형성된 계측 타겟(T)의 특성을 도 2 의 방법을 사용하여 측정하기 위해서 계측 장치(300)가 제공된다. 다양한 하드웨어 컴포넌트들은 개략적으로 표현된다. 이러한 컴포넌트의 실용적 구현형태는 현존 컴포넌트 및 특수 설계된 컴포넌트의 혼합물을 잘 알려진 디자인 원리에 따라 적용시키는 당업자에 의해 수행될 수 있다. 설명될 다른 컴포넌트에 대한 원하는 위치 및 배향에서 기판을 홀딩하기 위해 지지체(자세하게 도시되지 않음)가 제공된다. 방사선 소스(330)는 방사선을 조명 시스템(332)으로 제공한다. 조명 시스템(332)은 타겟(T) 상에 포커싱된 조사 스폿을 형성하는(조사 빔을 형성하는 다른 빔들과 함께) 광선(304)에 의해 표현되는 EUV 방사선 빔을 제공한다. 검출기(312) 및 임의의 보조 광학 컴포넌트는 편리하게 검출 시스템(333)이라고 간주될 수 있다.
이러한 예에서 기판(W)은 포지셔닝 시스템(334)을 가지는 가동 지지체 상에 탑재되어, 광선(304)의 입사각 α가 조절될 수 있게 한다. 이러한 예에서, 기판(W)을 틸팅하여 입사각을 변경하는 동안 소스(330) 및 조명 시스템(332)은 정지된 상태를 유지하는 것은 오직 편의에 따라 선택된 것이다. 반사된 광선(308)을 잡아내기 위해서, 검출 시스템(333)에는 추가적 가동 지지체(336)가 제공되어, 이것이 정지된 조명 시스템에 대해 각도 2α를 통해, 또는 기판에 대해 각도 α를 통해 이동하게 한다. 반사측정의 그레이징 입사 레짐(regime)에서, 입사각 α를 도시된 바와 같이 기판 평면에 대해 정의하는 것이 편리하다. 물론, 이것은 입사 광선(304)의 입사 방향과 기판에 수직인 방향(N) 사이의 각도라고도 마찬가지로 정의될 수 있다.
다른 실시예들에서, 입사각은, 예를 들어 원추형 마운트를 사용함으로써 둘 이상의 차원에서 변동될 수 있다. 이러한 타입의 장치와 그 잠재적인 이점은 전술된 유럽 특허 출원 번호 제 15160786 에 설명된다. 이러한 출원의 내용은 그 전체가 본 명세서에 원용되어 통합된다.
각각의 타겟(T)을 방사선의 스폿(S)이 위치되는 위치로 이동시키기 위해서 도시되지 않은 추가적 액츄에이터가 제공된다. (다르게 보면, 스폿을 타겟이 위치된 위치로 이동시키는 것과 같음) 실제 응용예에서, 단일 기판 상에서 측정될 개개의 타겟 또는 타겟 위치의 연속이 존재할 수 있고, 기판들의 연속도 존재할 수 있다. 이론상, 조명 시스템 및 검출기가 정지된 상태를 유지하는 동안 기판 및 타겟이 이동되고 재배향되는지, 조명 시스템 및 검출기가 이동되는 동안 기판이 정지된 상태를 유지하는지, 또는 상대 운동을 하는 상이한 컴포넌트들이 이러한 기법을 조합해서 얻어지는지는 중요하지 않다. 본 발명은 이러한 변형예 모두를 망라한다.
도 2 를 참조하여 전술된 바와 같이, 타겟(T) 및 기판(W)에 의해 반사된 방사선은, 검출기(312)에 충돌하기 전에 상이한 파장의 광선들의 스펙트럼(310) 내로 분할된다. 일반적으로 레퍼런스로서 사용하기 위하여 입사 빔의 세기를 측정하기 위해 제 2 검출기(338)도 역시 제공될 것이다. 프로세서(340)는 검출기(312 및 338)로부터 신호를 수신한다. 하나 이상의 입사각에 대한 결과적으로 얻어지는 반사 데이터는 타겟의 특성, 예를 들어 CD 또는 오버레이의 측정을 계산하기 위해서 프로세서 내에서 사용된다.
역콤프턴 산란 방사선 소스
도 4 는 도 3 의 장치에 있는 방사선 소스(330)의 개략적인 블록도이다. 높은 쓰루풋 및/또는 웨이퍼 당 고밀도의 측정 성능을 가지는 일차 계측 툴로서 반도체 산업에서 사용될 수 있는 계측 장치(300)를 제공하기 위해서는, 매우 밝은 소스가 바람직하다. EUV 범위에서 제품 구조체를 검사하기 위해서, 최근의 리소그래피 장치에서의 EUV 이미징을 위해 사용되는 파장과 유사한, 약 13 nm의 파장 범위가 관련된다. 그러나, 이러한 파장 범위에서는, 콤팩트하고 높은 휘도의 소스가 아직까지 시장에 나와있지 않다. 발명자들은 역콤프턴 산란(ICS)에 기초한 소스를 장치(300)에 있는 소스(330)로서 사용되기에 적합한 소스로 개발할 수 있다는 것을 인식했다. ICS-기초 소스는 상이한 종류의 산란측정 및 반사측정을 위해서 EUV 범위에서 요구되는 높은 휘도를 제공할 수 있다고 기대된다. 동일하거나 유사한 소스는 GI-SAXS 또는 T-SAXS 애플리케이션을 위해서 X-선 범위에 속한 방사선도 역시 제공할 수 있다. 예를 들어 0.01 nm 내지 125 nm의 범위에 속하고 높은 휘도를 가지는 튜닝가능한 소스가 구상될 수 있다. 이러한 소스는 산란측정(고정 각도 산란측정, 또는 각도 스캔과 결합된 산란측정)을 위해서 유리할 것이다. 소스가 특정 레벨의 공간적 코히어런스를 가지는 방사선을 생성한다면, 이것은 소위 무렌즈 이미징 기법(예를 들어 안킬로그래피 및 타이코그래피로 알려진 기법들을 포함하는 간섭성 회절 이미징(CDI))을 위해서도 사용될 수 있다.
이러한 예에서 방사선 소스(330)는 고 에너지 전자 빔(400)과 레이저 방사선(401) 사이의 상호작용에 기초한다. 메인 컴포넌트 및 동작에 대한 간단한 설명이 지금 제공될 것이다. ICS 소스의 구현 형태의 세부 사항에 대해서, W S Graves 등의 "Compact x-ray source based on burst-mode inverse Compton scattering at 100 kHz", Physical Review Special Topics - Accelerators and Beams 17, 120701 (2014)가 참조된다. Graves 등의 문헌의 내용은 본 명세서에 원용되어 통합된다.
전자 빔과 연관된 컴포넌트는 무선 주파수(RF) 전자총(402), 선형 가속기(linac)(404), 포커싱 어셈블리(406), 빔 편향기(408) 및 전자 덤프(410)이다. 전자총(402)은 광음극 및 가속기를 보유하여, 전자의 다발이 광음극 레이저(414)로부터의 광학적 펄스(412)에 의해 트리거링되면 선형 가속기(404) 내로 방출될 수 있게 한다. 포커싱 어셈블리(406)는 예를 들어 사극자 전자석의 세트를 포함할 수 있다. 편향기(408)는 쌍극자 전자석을 포함할 수 있다.
시드 레이저(416)는 시드 방사선의 펄스를 광음극 레이저(414)로 제공한다. Graves 등의 문헌에서 설명된 예에서, 200 Mhz의 펄스 주파수를 가지는 1030 nm 파장의 펄스가 존재한다. 동작 시에, 광음극 레이저(414)는 펄스들의 그룹을 선택하고, 이들을 광학적으로 증폭하며, 제 4 고조파 생성에 의해 이들을 약 250 nm 파장의 펄스로 변환한다. 이러한 펄스들이 광음극으로 전달되어 전자 다발을 생성하는 것이다. 펄스는 예를 들어 100 kHz의 주파수, 그룹 당 100 개의 펄스, 및 초당 1000 개의 그룹으로 선택될 수 있다. 선형 가속기(404)는 전자를 예를 들어 8 MeV 내지 40 MeV의 범위에 속하는 에너지로 가속한다. 따라서 전자 빔(400)이 생성되고 전자당 뚜렷한 에너지를 가지고 상호작용 포인트(IP)로 전달된다. 빔은 IP로 명명되는 상호작용 포인트에서 자신의 가장 좁은 포인트로 포커싱된다. 상호작용 포인트를 지난 뒤에, 편향기(408)는 전자 빔을 전자 덤프(410)로 조향한다.
레이저 빔(401)은 ICS 레이저(420)에 의해 생성된다. 이것 역시 시드 레이저(416)의 출력으로부터 선택된 펄스에 의해 시딩된다. 펄스는 1 kHz에서 취해지고, 증폭되고 압축되어 파장 1030 nm이며 1 kHz에서 3 피코초 펄스 길이인 펄스를 생성한다. ICS 레이저(420)의 출력 빔(422)은 두 개의 미러(426, 428) 사이에 형성된 광학 캐비티(424)로 전달된다. 광학 캐비티 및 상호작용 포인트의 확대된 세부도가 도 5 에 도시된다.
도 4 및 도 5 를 함께 참조하면, 캐비티의 입력단에는 특정 파장을 통과시키고 다른 것은 반사하기 위한 이색성 미러(426)가 있다. 미러(428)는 전반사 미러이다. 캐비티(424)는 렌즈(430) 및 고조파 발생기(432)도 포함한다. 고조파 발생기(432), 예를 들어 리튬 트라이보레이트(LBO) 또는 바륨 보레이트(BBO)의 결정은 빔(422) 내의 인입하는 광자의 일부를 주파수 체배에 의해 515 nm의 파장으로 변환한다(제 2 고조파 발생). 이색성 미러(426)의 동작에 의해, 이러한 광자는 캐비티 내에 포획되어 전자 빔(400)과 상호작용하는 빔(401)을 형성한다. 또한, 미러(426)는 포커싱 기능을 제공하도록 만곡형이다. 렌즈(430) 및 미러(426)는 요구되는 상호작용 포인트(IP)에 빔 웨이스트를 규정하도록 포획된 레이저 빔(401)을 포커싱한다. 실제 예에서, 레이저 빔(410)은 전자 빔과 레이저 광학기 사이의 간섭을 여전히 방지하면서 전자 빔(400)의 방향에 가능한 한 가깝게 정렬된다. Graves 등의 문헌은 예를 들어 50 mrad(밀리라드)의 각도를 사용한다. 이러한 각도는 도면에서 척도에 맞지 않게 강조된다.
이에 따라, 100 개의 전자 다발의 트레인이 고강도 레이저 방사선의 펄스가 존재하는 것과 동시에 초당 100 번 상호작용 포인트(IP)에 도달하도록 구성된다. 두 개의 빔 내의 전자와 광자 사이의 비탄성 산란이 추가 에너지를 광자로 전달하여, 그들 중 일부가 요구되는 주파수를 얻어서 도 2 의 계측 방법에서 사용되기 위해 요구되는 파장을 가지는 광자의 요구되는 출력 빔(440)을 생성하게 한다. 출력 빔(440)은 예를 들어 10 mrad의 상대적으로 작은 발산을 가지고, 출력 빔을 요구되는 방사선 스폿(S)에 포커싱하기 위해서 반사성 포커싱 광학기(442)가 제공된다. 이러한 관점에서, 포커싱 광학기(442)는 도 3 의 조명 시스템(332)의 일부를 형성한다고, 및/또는 소스(330)의 일부라고 간주될 수 있다. EUV 파장에서 사용하기 위해, 이러한 예에서 광학기(42)는 다중층 미러, 또는 예를 들어 Au 또는 Ru 금속으로 제작된 간단한 금속 미러를 포함할 수 있다. 포커싱 광학기(442)는 검출기(338)(도 4 에는 미도시)로의 레퍼런스 조명을 제공하기 위한 빔 스플리터로서의 역할을 할 수 있다. 빔 분할은 예를 들어 포커싱 광학기 내에 통합된 격자 구조체에 의해 수행될 수 있다. 빔 분할은 바람직할 경우 개별 요소에 의해 수행될 수 있다.
전자 빔(400)을 생성하는 것으로 돌아가면, 전자 빔(400)을 생성하기 위한 전력이 무선 주파수에서 클라이스트론(klystron; 450) 및 도파관 시스템(452)에 의해 제공된다. 이들의 전압 및 전류는 제어 시스템(454)에 의해 제어된다. 선형 가속기의 전압이 빔(400)에 있는 전자의 가속도 및 최종 에너지를 결정한다. 이것이 레이저 광자에 부여되는 에너지에 직접적으로 영향을 주고, 따라서 출력 빔(440)을 형성하는 방사선의 파장을 결정한다. Graves 등의 문헌에 있는 예에서, x-선 소스가 바람직하고, x-선 대역 내에서 약 0.1 nm의 파장에 대응하여 12.4 keV의 에너지를 가지는 광자가 생성된다. 이러한 x-선 소스는 반도체 계측에서 유용할 수 있지만, 그레이징 입사의 경우에만 그러하다. 소스는 예를 들어 T-SAXS를 위해 사용될 수 있고, 종래의 플라즈마 소스(이만큼 밝지 않음) 또는 싱크로트론 소스(대형이고 고가임)보다 고볼륨 측정을 위해 더 적합할 수 있다. 그러나, 그레이징 입사하는 x-선은 웨이퍼 상의 국지화된 소영역 내의 표면 구조체를 검사하기 위해서는 적합하지 않다. 13 keV 이상의 에너지로, x-선은 실리콘 웨이퍼를 통과하는 투과에서 사용될 수 있다. 또한, 본 발명의 발명자들은 비록 하드 x-선을 생성하도록 설계되지만, 전자의 에너지를 낮춤으로써 ICS 소스가 더 낮은 에너지의 광자(더 긴 파장)를 생성하도록 적응되고 조절될 수 있다는 것을 인식했다. 주어진 예에서, 이것은 선형 가속기로 공급되는 전압을 감소시킴으로써 달성되며, 이것은 제어 시스템(454) 및 다른 컴포넌트를 적절하게 설계함으로서 매우 고속으로 수행될 수 있다. 전자 에너지를 조절하기 위한 등가 단계가 선형 가속기 전압을 변경하는 것 대신 또는 이에 추가하여, 또는 선형 가속기를 사용하지 않는 소스의 경우에 적용될 수 있다.
그러므로, 소스(330)는 "하드" x-선 대신에 또는 그에 대한 대체로서 높은 휘도를 가지는 소프트 x-선 또는 EUV 방사선을 생성하기 위하여 사용될 수 있다. 소스도 역시 하드 x-선을 생성하도록 조절될 수 있다면, 이러한 사실은 금상첨화가 될 것이다. 본 명세서에서 구성되는 일차 목적을 위하여, EUV 방사선은 예를 들어 1 keV(약 1.2 nm), 0.1 keV 12.4 nm) 및 심지어 0.01 keV(124 nm)를 포함하는 에너지(파장) 범위에서 생성될 수 있다. 그 파라미터를 측정해야 하는 제품 피쳐의 치수에 역시 대응하는 이러한 파장은 입사각이 커지게 하고 따라서 포커싱된 스폿이 더 미세해지게 한다.
또한, 종래의 플라즈마 소스가 EUV 스펙트럼에 걸쳐 휘도가 많이 변하는 반면에, 본 발명의 ICS 소스는 전자총과 선형 가속기 주위의 전압 및 또는 다른 파라미터를 적절하게 제어함으로써 관심 대상 대역에 속하는 거의 모든 요구되는 주파수로 튜닝될 수 있다. 파장만이 측정마다 변경될 수 있는 것이 아니라, 파장은 하나의 측정 내에서도 변경되어 예를 들어 "분광(spectroscopic)" 정보를 획득할 수 있다.
더욱이, 전자 에너지를 더욱 감소시킴으로써, 동일한 소스는 이론에 따르면 훨씬 더 긴 파장에서, 소위 VUV(예를 들어, 100 내지 200 nm 또는 125 내지 200 nm) 및 UV(200 내지 350 nm), 및 심지어 가시(400 내지 800 nm) 파 대역 내에서 동작할 수 있다. 반사성 광학기(442)는 EUV 파장에서 효과적이지만, 방사선을 가시 파장 범위까지 높은 범위까지 포커싱하기 하는 역할도 역시 담당할 수 있다. UV 또는 가시 방사선의 소스만 필요하다면, ICS 소스는 매우 복잡하고 고가가 될 것이다. 그러나, 실질적으로 전자적 제어만을 변경함으로써 이러한 매우 다양한 파장을 생성하도록 동작가능한 단일 소스 및 광학 시스템을 가질 경우, 계측 장치(300)에는 새로운 유연성이 생긴다. 이러한 장치는 반도체 제조에만 유용한 것이 아니라, 과학적 검사 및/또는 품질 제어 애플리케이션의 광범위한 애플리케이션 에서도 유용할 수 있다.
EUV 반사측정법을 위한 광학 시스템
이러한 소스(330)의 예시적인 애플리케이션에는 도 3 에 도시된 것과 같은 장치를 사용하는 EUV 반사측정이 있다. 이러한 EUV-SR을 반도체 제조 시의 계측에 적용하면, 작은 격자 타겟이 사용될 수 있다. 다수의 회절 스펙트럼들은, 그레이징 입사각 α를 다양한 상이한 값으로 설정하면서 검출기(312)를 사용하여 캡쳐된다. 타겟 구조체의 검출된 스펙트럼들 및 수학적 모델을 사용하면, CD 및/또는 다른 관심 파라미터의 측정을 얻기 위한 재구성 계산이 수행될 수 있다. 예시적인 하나의 재구성 방법이 상세히 후술될 것이다.
도 2 에 도시된 타겟을 예로서 고려하면, 라인과 공간의 치수는 타겟 디자인에 따라 달라질 것이지만, 구조체의 주기는 예를 들어 100 nm 미만, 50 nm 미만, 20 nm 미만, 심지어 10 nm 미만 그리고 5 nm까지 내려갈 수 있다. 격자 구조체의 라인은 기판의 제품 영역 내에 있는 제품 피쳐와 동일한 치수 및 피치일 수 있다. 격자 구조체의 라인은 사실상, 오직 계측 목적을 위해서 전용 타겟 영역 내에 형성된 타겟 구조체가 아니라 제품 구조체의 라인일 수 있다. 이러한 작은 피쳐는 예를 들어 임프린트 리소그래피에 의해 또는 다이렉트-라이트(write) 방법에 의해여 EUV 리소그래피 프로세스에서 형성될 수 있다. 이러한 작은 피쳐는 또한 소위 이중-패터닝 프로세스(일반적으로 다중-패터닝)에 의하여 현재의 DUV 리소그래피를 사용해서 형성될 수 있다. 이러한 범주의 기법은, 예를 들어 리소-에칭-리소-에칭(LELE)에 의한 피치-이중화(doubling) 및 BEOL(back end-of line) 층들에서의 자기-정렬된 듀얼-다마신(dual-damascene)을 포함한다. 설명을 위하여, 다음 예들에서 CD가 관심 파라미터라고 간주할 것이다. 그러나, 포개져서 형성되는 두 개의 격자가 있는 경우, 다른 관심 파라미터는 오버레이일 수 있다. 이것은 별개로 후술되는 EUV-SR 회절 차수에 있는 비대칭에 기초해서 측정될 수 있다. 하부 구조체로의 적당한 침투를 얻기 위해 필요하면 입사각이 상승될 수 있다.
다중-패터닝 프로세스에서, 구조체들은 제품의 하나의 층에서 형성되지만, 하나의 패터닝 동작으로 형성되는 것이 아니라 두 개 이상의 패터닝 단계에서 형성된다. 따라서, 예를 들어 구조체의 제 1 모집단은 구조체의 제 2 모집단과 인터리빙될 수 있고, 하나의 단계만으로 얻을 수 있는 것보다 더 높은 분해능을 얻기 위하여 이러한 모집단들은 다른 단계에서 형성된다. 비록 모집단들의 배치는 기판 상의 다른 피쳐에 대하여 동일하고 완벽해야 하지만, 실제 패턴은 당연히 어느 정도의 위치 오프셋을 나타낸다. 모집단들 사이의 임의의 의도하지 않은 위치 오프셋은 오버레이의 형태인 것으로 간주될 수 있고, 층들 사이의 오버레이를 측정하기 위하여 사용되는 것과 유사한 기법에 의하여 측정될 수 있다. 또한, 피쳐들의 다수의 모집단이 단일 층에 형성된다면, 아래 또는 위의 층에 있는 피쳐들에 대한 오버레이는 각각의 모집단별로 다를 수 있고, 이러한 모집단들 각각에 대한 오버레이는 원할 경우 개별적으로 측정될 수 있다.
도 6 은 방사선 스폿의 신장의 변동을 예시하는데, 이것은 그레이징 입사 반사측정을 사용한 인-다이 계측의 구현형태에서 문제가 될 수 있다. 도 6 의 (a)에서, 기판(W) 및 타겟(T)은 단면으로 도시된다. 대표 입사 광선(304) 및 반사된 광선(308)이 기판(W)에 대해 입사각 α를 가지고 예시된다. 이들이 대표 광선들이기 때문에, 입사 방사선은 전체로서 개략적으로 602 로 표현되는 빔을 형성하는 많은 광선들을 포함한다고 간주해야 한다. 이와 유사하게, 반사된 방사선은 개략적으로 604 로 표현되는 빔을 형성하는 많은 광선(308)을 포함한다. 가능한 최소 타겟을 사용하기 위하여, 빔(602)의 광선을 포커싱하여, 이들이 기판(W)의 표면을 만나는 곳에서 정밀하게 최소 빔 직경을 규정하도록 수렴하도록 방사선 스폿이 형성된다. 도면에서, 입사 빔(602)은 최소 직경(dB)을 가진 초점으로 수렴한다. 반사된 빔(408)(산란 효과를 무시함)은 도시된 바와 같은 발산 광선을 포함한다. 그레이징 입사각 α가 상대적으로 작기 때문에(다르게 말하면, 90°가 아니라 제로에 가깝기 때문에) 타겟(T) 상에 투영된 방사선 빔(602)의 직경(dS)은 빔 직경(dB)보다 수 배 더 크다. 직경 dS와 dB 사이의 비율은 도 4 의 (a)에 도시된 바와 같이 각도 α의 사인 값에 따라 달라진다.
도 6 의 (b)에 도시된 바와 같이, 타겟(T)의 영역 내에 맞춤되는 원형 스폿(S)을 얻기 위해서는, 빔(602)이 B로 표시된 크게 타원인 단면을 가져야 한다. 각도 α가 5°이면, 예를 들어 빔의 최소 직경(dB)은 스폿의 허용될 수 있는 직경(dS)보다 10 배 작은 것보다 커야 한다(sin 5° = 0.087). 더 낮은 입사각의 경우, 빔의 최소 직경은 수 십, 수 백, 또는 심지어는 수 천 배 더 작아야 할 것이다. 5 μm 정방형인 것과 같은 소타겟 영역 내에 맞춤되는 스폿을 얻는 것은 실무상 불가능할 것이다. 심지어 α = 5°인 경우, 5 μm 미만의 스폿 크기를 얻으려면 최소 빔 직경(dB)은 약 436 nm여야 한다. 반대로, 도 6 의 (c)에서 볼 수 있는 바와 같이, 그레이징 입사각 α가 증가하면 빔(404)의 최소 직경 요구 사항이 크게 완화된다. 타겟(T)의 영역 내에 맞춤되는 스폿(S')을 얻으려면, 타원(B')은 타원(B)보다 훨씬 더 넓어야 한다. 예를 들어 α = 20°의 경우, 빔 직경은 오직 세 배의 인자만큼만 증가될 것이다. 최소 직경(dB)은 5 μm 스폿 크기를 초과하지 않으면서 1.7 μm만큼 커질 수 있다.
공지된 기법, 특히 X-선 반사측정(GI-XRS)과 비교하여, 1 내지 100 nm의 범위에 속하는 EUV 파장을 사용하면 이러한 더 입사각이 더 높아지고 더 작은 스폿 크기를 EUV 광학 디자인의 성능 내로 구현할 수 있다. 전술된 소스(330)의 이러한 능력은 고볼륨 제조 시에 기판 상의 소타겟을 측정하기 위해서 EUV 반사측정이 고려될 수 있게 한다.
도 7 은 도 3 의 장치 내에 있고 또한 검출 시스템(333)의 일부인 조명 시스템(332)의 하나의 가능한 구성을 예시한다. 역콤프턴 산란에 기초한 방사선 소스(330)는 도 4 및 도 5 를 참조하여 전술된 바와 같이 뚜렷한 방사선 빔(440) 내에 방사선을 생성한다. 도시된 광학 시스템의 요소들 중 일부 또는 전부는 이러한 소스로 원하는 성능을 얻기 위해서 불필요하다면 생략될 수 있다.
요구되는 파장을 가지는 EUV 방사선 빔(440)은 특정 발산으로 수광된다. 소스(330)의 출구(조명 시스템(332)으로의 입구)에서, 조명 시스템에 대한 입구 퓨필로서의 역할을 하도록 제 1 애퍼쳐(620)가 제공된다. 인입하는 빔(622)은 더 적은 발산을 가지고 포커싱 광학 요소 또는 시스템에 충돌한다. 이러한 포커싱 시스템은 현재의 예에서 2-차원 만곡 미러(624), 예를 들어 타원형 미러에 의해 구현된다. 미러(624)는 수렴 빔(626)을 생성하는데, 이것은 기판(W) 상의 타겟 위치에 있는 스폿을 형성하도록 포커싱된다. 또는, 타겟에서의 빔(632)의 직경을 제한하기 위해 제 2 애퍼쳐(630)가 제공된다. 특히, 애퍼쳐(630)는 높이 및/또는 폭에 있어서 조절가능하여, 상이한 형상의 빔(B')이 상이한 필요성/크기, 및 상이한 입사각 α에 따라서 생성될 수 있게 할 수 있다. 만곡형 미러(624)가 도 4 에 도시되는 포커싱 광학기(442)에 대응한다는 것이 이해될 것이다.
반사된 빔(634)은 검출 시스템(332)에 진입하고, 타겟의 구조체에 대한 정보를 검출기(312)(도면에서는 미도시)로 전달한다. 또는, 빔이 검출 시스템(333)에 진입할 때에 빔의 발산을 감소시키기 위해서 제 2 포커싱 미러(636)가 제공된다. 이차원 만곡 미러(624, 636) 중 하나는 두 개 이상의 일차원 만곡(원통형) 미러의 시리즈로 대체될 수 있다. 도시된 바와 같이, 미러(624)는 레퍼런스 조명을 검출기(338)로 제공하기 위한 빔 스플리터로서의 역할을 할 수 있다. 빔 분할은 예를 들어 미러 내에 통합된 격자 구조체에 의해 수행될 수 있다. 본 발명의 원리에서 벗어나지 않는 광학 시스템의 그 외의 변경도 역시 가능하다.
파장 선택
상이한 파장에서 측정을 할 수 있으면 정보 다양성이 크게 증가될 수 있고, 그러면 프로세서(PU)가 광학 산란측정과 같은 방법을 사용하여 나노구조체를 정확하게 측정할 수 있게 지원한다. ICS 소스가 파장을 선택하고 특정 재료의 흡수 에지에 가까운 값 또는 흡수 에지로 설정하여, 예를 들어 재료 의존적 산란측정 또는 이미징을 수행할 수 있게 하는 것이 가능하다.
도 8 은 상이한 파장의 방사선과 통상적인 반도체 제품에서 발견되는 것들 중에서 선택한 것일 뿐인 다양한 재료의 상호작용을 예시한다. 4 개의 그래프에서 수평 축은 파장 λ를 오직 예를 들기 위하여 0.1 nm 내지 40 nm까지 진행하는 로그 스케일로 표현한다. 전술된 바와 같이, 이러한 파장은 관심 대상인 피쳐의 치수와 요구되는 측정 해상도를 망라한다. 각각의 그래프에서 수직 축은 μm 단위의 투과 깊이(감쇠 길이), 또는 주어진 밀도의 재료를 나타낸다. 절대 스케일은 중요하지 않고, 각각의 재료가 특정 "에지"의 양측의 파장에서 감쇠에 있어 큰 차이를 보인다는 것과 이러한 에지가 상이한 요소 및 화합물에 대해서 상이한 파장에 해당한다는 것을 알 수 있다.
예를 들어, 상단 그래프에서, 실리콘(Si)은 약 12.4 nm에서 강한 에지(700)를 보여준다. 파장 702 에서의 측정은 실리콘의 존재에 상대적으로 민감한 반면에, 파장 704 에서의 측정은 상대적으로 민감하지 않다. 제 2 그래프에서, 산소는 제 3 그래프에서 상이한 에지(710)를 보여주고, 산화물(SiO2)은 Si 및 O 성분 양자 모두에 대응하는 에지(720, 722)를 보여준다. 제 4 그래프에서 탄소는 또 다른 파장에서 에지(730)를 가진다. 따라서, 임의의 어떤 파장이 아니라 파장(732)을 선택함으로써 레지스트 구조체(고탄소)가 더 정확하게 측정될 수 있다는 것을 알 수 있게 될 것이다. 추가적인 조명 및 검출 단계를 도입하는 대신에 정확도를 더 높이기 위해서, 에지(730)의 양측인 파장(732 및 734)에서 산란 패턴 또는 반사율을 검출함으로써 차분 측정이 얻어질 수 있다. 파장의 유사한 차분 쌍들이 에지(700 및 710) 양측에 도시되어 있다. 동일한 측정 전략이 예를 들어 게르마늄(Ge)에도 적용된다.
방출된 조명의 파장의 조절가능성을 이용하는 ICS 소스의 한 애플리케이션은 가시 범위부터 EUV 범위까지의 파장이 리소그래피 프로세스의 파라미터에 대한 정보를 제공하기 위해 사용되는 계측 애플리케이션인 반면에, 통상적인 EUV 파장보다 짧은 ICS 소스에 의해 생성된 파장이 재료 특성을 특징짓는 것에 관련된 측정을 위해 사용된다. 예를 들어, ICS 소스의 파장을 변경하면서 언더라잉 구조체의 거칠기 또는 토폴로지가 측정된다. 파장이 길어지면 거칠기 정보는 반사된 조명에는 거의 존재하지 않는 반면에, 파장이 짧을수록 토폴로지의 로컬 변동, 예컨대 표면 거칠기에 더 민감해진다. 토폴로지 정보가 더 이상 존재하지 않는 조명 파장 내의 임계가 존재한다는 것도 역시 알 수 있다. 더 짧은 파장에 대해서 얻어지는 다른 재료에 관련된 정보는 재료 화학량론, 밀도, 도전성 및 커패시턴스와 같은 전기적 및/또는 광학적 특성이다.
이용가능한 플라즈마-기초 EUV 소스와 비교할 때, ICS 기법을 사용하여 파장의 변경을 제어할 수 있다고 해서 소스 휘도가 크게 변하게 되는 것은 아닐 것이다. 알려진 소스와 연관된 트레이드-오프와 절충을 대체적으로 피할 수 있다.
고볼륨 제조에서의 응용예
도 9 는 도 1 의 설비에서 처리되는 웨이퍼의 배치 또는 로트 중 하나인 기판(W)을 예시한다. 하나 이상의 산란 패턴이 각각의 타겟 위치(900)에서 검출기에 의해 캡쳐된다. 산란 패턴은 측정을 계산하기 위해 프로세서(340)에 의해 사용되고 운영자, LACU(206) 및/또는 SCS(238)로 보고된다. 본 명세서에서 설명되는 타입의 ICS 소스가 있는 검사 장치를 사용하여 측정될 특정 타입의 제품으로서 반도체 기판(웨이퍼)이 인용되지만, 이러한 소스를 가진 장치의 기능은 광범위한 검사 및 측정 태스크에 적용가능하다는 것이 이해되어야 한다.
격자 형태인 타겟 구조체(T)가 도시되고 전술되었지만, 본 발명에 의해 가능해지는 방법은 주기적 타겟 구조체와 함께 사용되는 것으로도, 계측만을 위한 구조체로도 한정되지 않도록 적응될 수 있다. 타겟 구조체는 제품 구조체의 일부일 수 있다. 이를 위하여 제품 구조체는 마감된 제품 내에 존재하는 구조체만을 포함하지 않고, 제조 프로세스의 중간 스테이지에 존재하는, 레지스트 패턴, 또는 하드 마스크와 같은 구조체도 포함한다.
처리된 반도체 기판의 형태인 제품이 예시되었지만, 검사가 필요한 다른 제품은 리소그래피 장치에서 패터닝 디바이스로서 사용되는 마스크 또는 레티클이다. 타겟 구조체는 이러한 패터닝 디바이스의 일부일 수 있다. 검사는 패터닝 디바이스의 제조 중에 또는 그 이후의 품질 제어를 위해 수행될 수 있다. 검사는 예를 들어 손상 또는 오염을 검출하기 위해서 패터닝 디바이스를 사용하는 중에 주기적으로 수행될 수 있다.
이러한 예에서 검사되는 구조체는 도포된 패턴과 이러한 패턴에 따라 형성된 구조체를 가진다. 그러나, 본 발명의 방법은 반도체 제품 또는 패터닝 디바이스를 위한 블랭크 기판의 검사에도 적시 적용될 수 있다. 그러한 경우에 검사는 층 두께 또는 조성, 및/또는 균일성을 측정하고 및/또는 손상 및 오염과 같은 결함을 검출하기 위한 것일 수 있다.
도 10 은 향상된 측정 방법을 수행하는 동일한 장치를 예시하는데, 여기에서 다수의 산란 패턴은 각각의 타겟 위치에서, 또는 매번 파장을 스위칭하는 상이한 타겟 위치에서 검출기에 의해 캡쳐된다. 이러한 상이한 파장의 결과들이 프로세서(340)에 의해서 단일 측정으로 결합되고 운영자, LACU(206) 및/또는 SCS(238)에게 보고된다. 상이한 파장의 개수는 두 개 정도로 적을 수 있고, 또는 열 개 이상일 수 있다. 1 초 안에, 0.5초 안에, 심지어 0.1 초 안에 파장을 스위칭할 수 있는 능력과 함께, ICS 소스의 휘도가 높기 때문에 높은 쓰루풋으로 이러한 다중 측정이 수행될 수 있다.
분광 반사측정법의 이러한 예를 사용하는 계측 기법의 목적은 형상의 하나 이상의 파라미터의 측정을 계산하는 것이다. 예를 들어 복원 기법을 적용하면, 이러한 파라미터의 어떠한 값이 특정한 관찰된 반사 스펙트럼(하나 이상의 더 높은 회절 차수를 선택적으로 포함함)을 야기할 것인지를 계산하기 위해서 엄밀한 광학적 이론이 효과적으로 사용된다. 다르게 말하면, 타겟 형상 정보가 CD(임계 치수) 및 오버레이와 같은 파라미터에 대해 얻어진다. CD 또는 임계 치수는 기판에 "기록된(written)" 대상물의 폭이고, 리소그래피 장치가 기판에 물리적으로 기록할 수 있는 한계이다. 일부 경우, 관심 파라미터는 CD 자체의 절대 측정이 아니라 CD 균일성일 수 있다. 격자 높이 및 측벽 각도와 같은 다른 파라미터도 소망되는 경우에는 측정될 수 있다. 오버레이 계측은, 기판 상의 두 개의 층들이 정렬되는지 아닌지 여부를 결정하기 위하여 두 개의 타겟의 오버레이가 측정되는 측정 시스템이다.
EUV 계측 장치(300)로부터의 결과를 타겟(T)과 같은 타겟 구조체 및 그 반사 및/또는 회절 특성의 모델링과 조합하여 사용하면, 구조체의 형상 및 다른 파라미터들의 측정이 여러 방법으로 수행될 수 있다. 제 1 타입의 프로세스에서, 타겟 형상(제 1 후보 구조체)의 제 1 추정에 기초한 회절 패턴이 계산되고 상이한 파장에서의 관찰된 반사 패턴과 비교된다. 그러면 모델의 파라미터는 체계적으로 변경되고 반사 스펙트럼들이 일련의 반복 과정에서 재계산되어, 새로운 후보 구조체를 생성하고, 따라서 최선의 근사(fit)에 도달하게 된다. 제 2 타입의 프로세스에서, 많은 그 외의 후보 구조체들에 대한 반사 스펙트럼들이 사전에 계산되어 반사 스펙트럼들의 '라이브러리'를 생성한다. 그러면, 측정 타겟으로부터 관찰된 반사 스펙트럼이 계산된 스펙트럼들의 라이브러리와 비교되어 최선의 근사를 찾아낸다. 양자 모두의 방법이 함께 사용될 수 있다: 라이브러리로부터 개략적 근사(coarse fit)가 사용된 후에 최선의 맞춤을 찾아내기 위하여 반복 프로세스가 사용된다. EUV 분광식 반사측정에서, 제 1 타입의 프로세스에 대한 계산이 부담스럽지 않을 것이 기대된다. 그러한 경우, 라이브러리 프로세스에 의지할 필요가 없을 것이다.
이러한 타입의 프로세스의 원리는 당업자에게 알려져 있고, ICS 방사선 소스를 가진 계측 장치(300)를 활용하기 위해 적응될 수 있다.
도 11 은 다른 향상된 측정 방법을 수행하는 동일한 장치를 예시하는데, 여기에서 다수의 산란 패턴은 각각의 타겟 위치에서, 또는 매번 파장을 스위칭하는 상이한 타겟 위치에서 검출기에 의해 캡쳐된다. 이러한 상이한 파장의 결과들이 프로세서(340)에 의해 사용되어 상이한 파라미터의 상이한 측정을 얻는다. 예를 들어, 종래의 계측 타겟이 가시 파장 방사선으로 측정된 후 제품 구조체가 EUV 방사선으로 측정될 수 있다. 역시, 결과는 운영자, LACU(206) 및/또는 SCS(238)로 보고된다.
잠재적으로 도 1 의 전용 광학적 산란계(240)는 EUV 계측 장치(244)에 포함된 기능으로 대체될 수 있다.
이러한 하이브리드 계측 기법의 응용예와 장점이 현재의 우선일에 공개되지 않은, 2014 년 5 월 13 일 출원된 유럽 특허 출원 14168067.8 에 개시된다. 이러한 예에서, 하나의 타입의 타겟을 측정하기 위하여 광학 산란계가 사용되는 반면에, T-SAXS에 의해 다른 타겟을 측정하기 위하여 x-선 계측 장치가 사용된다. 본 발명에서, 양자 모두의 측정을 위해 동일한 소스가 사용될 수 있다.
도 12 는 도 10 및 도 11 의 원리를 조합한 것을 예시하는데, 여기에서 다수의 타입의 측정이 동일하거나 상이한 타겟에서 이루어지고, 각각의 타입의 측정은 동일한 타겟 상에 다수의 파장을 사용한다.
이러한 상이한 동작 모드들 모두는, EUV 파대역에 걸쳐 그리고 선택적으로 더 짧은 및/또는 더 긴 파대역에 걸쳐 상이한 파장들 사이에서 신속하게 스위칭가능한 고휘도 소스를 제공함으로써 크게 단순화된다.
도 13 은 소스(330)를 T-SAXS 계측 장치(1300)에 적용하는 것을 예시한다. 이것은, 기판이 방사선 빔(1304)이 직각으로 또는 거의 직각으로 입사하도록 제공되고 검출기(1312)가 기판 뒤에 위치된다는 것을 제외하고는 도 3 의 장치와 유사하다. '13'으로 시작하는 다른 참조 부호는 도 3 에서 '3'으로 시작하는 부호와 그 효과가 비슷하다. 소스가 x-선을 발생시키면, 이것이 T-SAXS 용으로 사용될 수 있다. 실리콘 제품의 경우, 13 keV보다 큰 광자 에너지가 가장 효과적일 것이고, 현재 T-SAXS에서 사용되는 에너지는 17keV이다. 그러나, Graves 등의 문헌에서 얻어진 12.4 keV의 에너지도 T-SAXS가 실행되게 하기에 충분히 높다(소스 휘도가 주어진다면). 기계적 구성에 따라서, 포지셔닝 시스템(1334)은 잠재적으로 동일한 기구 내에서 기판을 도 3 에 도시되는 반사측정 위치와 도 13 에 도시되는 투과 위치 사이에서 이동시킬 수 있다. 검출기(340 및 1340)는 별개의 검출기여서 기계적 디자인을 단순화할 수 있다. 다시 말하건대 레퍼런스 검출기(미도시)는 조명의 세기를 실시간으로 측정하도록 제공될 수 있다.
도 14 는 리소그래피 제조 시스템의 관리에 측정 방법, 예를 들어 전술된 방법을 적용하는 것을 예시한다. 단계들이 우선 나열되고, 상세히 설명될 것이다.
S21: 웨이퍼를 처리하여 기판 상에 구조체를 생성함
S22: 기판에 걸쳐 CD 및/또는 다른 파라미터를 측정함
S23: 계측 레시피를 업데이트함
S24: 리소그래피 및/또는 프로세스 레시피를 업데이트함
단계 S21 에서, 리소그래피 제조 시스템을 사용하여 기판에 걸쳐서 구조체가 생성된다. S22에서, 기판에 걸쳐 구조체의 특성을 측정하기 위하여 EUV 계측 장치(244)(예를 들어 계측 장치(300)) 및 선택적으로 다른 계측 장치 및 정보 소스가 사용된다. 단계 S23 에서, 선택적으로, 계측 장치 및/또는 다른 계측 장치(240)의 계측 레시피 및 캘리브레이션이 얻어진 측정 결과를 참조하여 업데이트된다.
단계 S24 에서, CD 또는 다른 파라미터의 측정은 원하는 값과 비교되고, 리소그래피 제조 시스템 내의 리소그래피 장치 및/또는 다른 장치의 셋팅을 업데이트하기 위하여 사용된다. 고볼륨 쓰루풋을 가지는 EUV 계측 장치를 제공함으로써, 전체 시스템의 성능이 개선될 수 있다. 제품 피쳐 및/또는 제품-유사 피쳐는 최소 기술 노드에서도 직접적으로 측정될 수 있고, 인-다이 타겟은 많은 면적을 잃지 않고서 제공되고 측정될 수 있다.
위의 단계들에서, 프로세스의 통계적으로 신뢰가능한 모델이 유도되기에 충분한 타겟이 하나의 기판에 걸쳐서 그리고 다수의 기판에 걸쳐서 측정된다고 가정된다. CD 및 다른 파라미터들의 프로파일은 기판 전체의 변동으로서 전체적으로 표현될 필요가 없다. 예를 들어, 이것은 모든 필드의 필드내 프로파일(기판(W) 상의 다른 위치에서의 패터닝 디바이스(M)를 사용한 패터닝의 각각의 인스턴스) 및 필드내 변동이 반복적으로 중첩되는 더 낮은 차수의 필드간 변동으로서 표현될 수 있다. 단계 S24 에서 조절된 리소그래피 프로세스의 셋팅은 인트라-필드 셋팅 및 인터-필드 셋팅을 포함할 수 있다. 이들은 장치의 모든 동작에 적용가능하거나 또는 특정 제품 층에 고유할 수 있다.
결론
ICS-기초 소스를 사용하는 설명된 예시적인 계측 장치에 기초하여, 당업자는 동일한 타입의 소스가 EUV 분광식 반사측정 및 EUV 반사측정뿐만 아니라 다양한 애플리케이션 및 계측 시스템에서도 사용될 수 있다는 것을 이해할 것이다. 예를 들어:
- 소스가 x-선을 생성한다면, 이것은 전술된 바와 같이 T-SAXS 용으로 사용될 수 있다.
- 스폿 크기를 이러한 얕은 입사각으로 한정하려고 하는 경우에 광자의 손실이 클 수 있다는 것을 고려하면, GI-SAXS가 더 실현가능해진다. ICS 소스가 밝고 각도가 넓다는 것은, GI_SAXS가 대량 환경에서도 역시 실현가능해질 수 있다는 것을 의미한다.
- ICS 소스가 충분한 공간적 코히어런스를 가지는 방사선을 생성하도록 제어될 수 있다면, 추가적인 계측 기법이 이용가능해진다. 타이코그래피와 같은 간섭성 회절 이미징(CDI) 방법이 관심 대상이 된다. 타이코그래피에서는(예를 들어) 산란된 파의 위상을 취출하기 위해서 해당 기법에서는 다수의 이미지를 획득해야 하기 때문에, 파장의 선택가능성과 더불어 소스의 휘도가 높다는 것이 유용해진다. 이와 유사하게, 다른 CDI는 고해상도 데이터 캡쳐를 요구하는데, 이것을 가능해지기 위해서도 높은 휘도가 중요하다.
- 전술된 바와 같이, VUV, DUV, UV 및 가시 범위에 속하는 방사선은 전자총 및 선형 가속기를 적절하게 제어하고 이들을 위한 파워 서플라이 장치를 제공함으로써 생성될 수 있다.
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 기판 및 패터닝 디바이스에서 실현되는 바와 같은 신규한 타겟과 연관하여, 일 실시예는 기판에 타겟을 생성하고, 기판 상의 타겟을 측정하며 및/또는 측정을 처리하여 리소그래피 프로세스에 대한 정보를 획득하는 방법을 기술하는 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수도 있다. 이러한 컴퓨터 프로그램은 예를 들어 도 3 의 장치에 있는 유닛(PU) 및/또는 도 2 의 제어 유닛(LACU) 내에서 실행될 수도 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다.
비록 물리적 레티클의 형태인 패터닝 디바이스가 설명되었지만, 본 명세서에서 "패터닝 디바이스"라는 용어는, 예를 들어 프로그래밍가능한 패터닝 디바이스와 함께 사용되도록 디지털 형태로 패턴을 포함하는 데이터 제품을 포함한다.
본 발명에 따른 다른 실시예들은 아래의 번호가 매겨진 절에서 제공된다:
1. 구조체의 특성을 측정하는 방법으로서,
방사선으로 상기 구조체를 적어도 제 1 회 조사하는 단계,
상기 구조체와의 상호작용 이후에 상기 방사선을 검출하는 단계 및
상기 방사선의 특성에 기초하여 상기 구조체의 특성을 결정하는 단계를 포함하고,
상기 방사선은 역 콤프턴 산란에 의해 생성되고, 상기 방사선은 0.1 내지 125 nm의 범위에 속하는 제 1 파장을 가지는, 구조체 특성 측정 방법.
2. 제 1 절에 있어서,
상기 구조체는 10 μm보다 작고, 선택적으로 5 μm보다 작은 치수의 빔 직경을 사용하여 상기 방사선으로 조사되는, 구조체 특성 측정 방법.
3. 제 1 절 또는 제 2 절에 있어서,
동일한 구조체가 적어도 제 2 회 조사되고 방사선이 검출되며,
제 2 회의 방사선은 역 콤프턴 산란에 의해 생성되고 0.1 내지 125 nm의 범위에 속하는 제 2 파장을 가지는, 구조체 특성 측정 방법.
4. 제 3 절에 있어서,
상기 구조체의 특성은 제 1 및 제 2 파장 양자 모두의 검출된 방사선에 기초하여 결정되는, 구조체 특성 측정 방법.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
동일하거나 상이한 구조체가 적어도 제 3 회 조사되고 방사선이 검출되며,
제 2 회의 방사선은 역 콤프턴 산란에 의해 생성되고 0.1 내지 125 nm의 범위 밖의 제 2 파장을 가지는, 구조체 특성 측정 방법.
6. 제 5 절에 있어서,
상기 제 2 파장은 0.1 nm보다 짧은, 구조체 특성 측정 방법.
7. 제 5 절에 있어서,
상기 제 2 파장은 125 nm보다 긴, 구조체 특성 측정 방법.
8. 제 5 절에 있어서,
상기 제 2 파장은 200 nm보다 긴, 구조체 특성 측정 방법.
9. 제 5 절에 있어서,
상기 제 2 파장은 350 nm보다 긴, 구조체 특성 측정 방법.
10. 제 3 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 제 1 회와 제 2 회는 1 초 안에 완료되는, 구조체 특성 측정 방법.
11. 제 1 절 내지 제 10 절 중 어느 한 절에 있어서,
상기 방사선은 전자빔 및 광자빔을 상호작용 포인트로 동시에 전달함으로써 생성되는, 구조체 특성 측정 방법.
12. 제 11 절에 있어서,
상기 방법은, 상기 전자 빔 내의 전자의 에너지를 조절함으로써 상기 방사선의 파장을 여러 번 조절하는 단계를 더 포함하는, 구조체 특성 측정 방법.
13. 제 11 절 또는 제 12 절에 있어서,
상기 전자빔은 전자총 및 선형 가속기를 사용하여 생성되는, 구조체 특성 측정 방법.
14. 제 1 절 내지 제 13 절 중 어느 한 절에 있어서,
상기 구조체는 반도체 기판 상에 형성되는, 구조체 특성 측정 방법.
15. 제 1 절 내지 제 14 절 중 어느 한 절에 있어서,
상기 조사의 방향은 상기 기판에 평행한 방향으로부터 2°보다 크게 벌어지는, 구조체 특성 측정 방법.
16. 역 콤프턴 산란에 기초하는 방사선 소스 장치로서,
상기 장치는 전자 소스 및 광자 소스, 및
전자의 하나 이상의 다발을 광자의 펄스와 동시에 상호작용 포인트로 전달하여, 역 콤프턴 산란에 의해 추가 에너지를 획득하는 광자의 일부가 상기 장치에 의해 출력되게끔 상기 전자 소스 및 광자 소스를 제어하기 위한 제어기를 포함하고,
상기 추가 에너지는, 상기 장치에 의해 출력되는 광자가 0.1 nm 내지 125 nm의 범위에 속하는 파장을 가지도록 제어가능한, 방사선 소스 장치.
17. 제 16 절에 있어서,
상기 추가 에너지는, 상기 장치에 의해 출력되는 광자가 0.1 nm 내지 100 nm의 범위 중 적어도 하나의 서브-범위에 걸쳐서 자유롭게 선택가능한 파장을 가지도록 제어가능한, 방사선 소스 장치.
18. 제 16 절 또는 제 17 절에 있어서,
상기 추가 에너지는, 다른 시점에 상기 장치에 의해 출력되는 광자가 0.1 nm 내지 100 nm의 범위 밖의 파장을 가지도록, 더욱 제어가능한, 방사선 소스 장치.
19. 구조체의 특성을 측정하는 데에 사용되기 위한 계측 장치로서,
제 16 절 내지 제 18 절 중 어느 한 절에 따른 방사선 소스 장치;
상기 방사선 소스 장치에 의해서 방사선 빔 내에 출력되는 광자를 상기 구조체 상으로 전달하기 위한 조명 시스템; 및
상기 광자가 상기 구조체와 상호작용한 이후에 상기 구조체로부터 나오는 방사선을 검출하기 위한 검출 시스템을 포함하는, 계측 장치.
20. 제 19 절에 있어서,
상기 구조체에 투영되면 방사선 빔은 10 μm 미만, 선택적으로 5 μm 미만의 크기(extent)를 가지는, 계측 장치.
21. 제 19 절 또는 제 20 절에 있어서,
상기 계측 장치는, 상기 방사선을 여러 번 검출하면서 상기 방사선 빔 내의 상기 방사선의 파장을 변경하기 위한 제어기를 더 포함하는, 계측 장치.
22. 제 21 절에 있어서,
상기 제어기는 1 초 내에 적어도 두 번 새로운 파장을 설정하고 방사선을 검출하도록 동작가능한, 계측 장치.
23. 제 19 절 내지 제 22 절 중 어느 한 절에 있어서,
상기 검출 시스템은 상기 구조체로부터 반사된 후에 상기 방사선을 검출하도록 구성되는, 계측 장치.
24. 제 23 절에 있어서,
상기 조명 시스템 및 검출 시스템은 반도체 기판 상의 다양한 위치에 형성된 구조체의 특성을 측정하도록 구성되고,
방사선의 상기 빔의 입사각은 기판 표면에 대해 2°보다 큰, 계측 장치.
25. 디바이스 제조 방법으로서,
리소그래피 프로세스를 사용하여 패터닝 디바이스로부터의 패턴을 기판 상에 전사하는 단계로서, 상기 패턴은 적어도 하나의 구조체를 형성하는, 단계;
상기 리소그래피 프로세스의 하나 이상의 파라미터에 대한 값을 결정하도록, 상기 구조체의 하나 이상의 특성을 측정하는 단계; 및
측정된 특성에 따라, 상기 리소그래피 프로세스의 후속 동작에 정정을 적용하는 단계를 포함하고,
상기 구조체의 특성을 측정하는 단계는, 제 1 절 내지 제 15 절 중 어느 한 절의 방법에 의해 특성을 측정하는 단계를 포함하는, 디바이스 제조 방법.
26. 제 33 절에 있어서,
상기 기능성 디바이스 패턴은 50 nm 미만, 선택적으로 20 nm 미만의 임계 치수를 가진 제품 피쳐를 규정하는, 계측 장치.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시예의 사용에 대하여 이루어졌지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
리소그래피 장치에 관련하여 사용되는 "방사선" 및 "빔"이라는 용어는 위에서 식별된 범위를 포함하는 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (20)

  1. 주기적 구조체의 특성을 측정하는 방법으로서,
    역콤프턴 산란에 의해 방사선 빔을 생성하는 단계;
    상기 방사선 빔을 상기 주기적 구조체에 지향시키는 단계;
    상기 주기적 구조체에 의해 반사되거나 투과되어 회절된 방사선을 검출하는 단계; 및
    검출된 방사선의 회절 차수 세기의 특성에 적어도 기초하여 상기 주기적 구조체의 특성을 결정하는 단계를 포함하고,
    상기 방사선 빔은 0.01 내지 125 nm 범위 내의 파장을 가지는, 주기적 구조체 특성 측정 방법.
  2. 제 1 항에 있어서,
    상기 방사선 빔은 상기 주기적 구조체에서 10 μm 미만의 빔 직경을 가지는, 주기적 구조체 특성 측정 방법.
  3. 제 1 항에 있어서,
    역콤프턴 산란에 의해 또다른 방사선 빔을 생성하는 단계;
    상기 또다른 방사선 빔을 상기 주기적 구조체에 지향시키는 단계; 및
    상기 주기적 구조체에 의해 반사되거나 투과되어 회절된 또다른 방사선을 검출하는 단계를 더 포함하고,
    상기 또다른 방사선 빔은 0.01 내지 125 nm 범위 내의 파장을 가지는, 주기적 구조체 특성 측정 방법.
  4. 제 3 항에 있어서,
    상기 방사선 빔 및 상기 또다른 방사선 빔을 지향시키는 것과 상기 주기적 구조체에 의해 반사되거나 투과되어 회절된 상기 방사선 및 상기 또다른 방사선을 검출하는 것은 1 초 미만 내에 완료되는, 주기적 구조체 특성 측정 방법.
  5. 제 1 항에 있어서,
    역콤프턴 산란에 의해 또다른 방사선 빔을 생성하는 단계;
    상기 또다른 방사선 빔을 또다른 주기적 구조체에 지향시키는 단계; 및
    상기 또다른 주기적 구조체에 의해 반사되거나 투과되어 회절된 방사선을 검출하는 단계를 더 포함하고,
    상기 또다른 방사선 빔은 0.01 내지 125 nm 범위 내의 파장을 가지는, 주기적 구조체 특성 측정 방법.
  6. 제 1 항에 있어서,
    상기 생성하는 단계는 전자 빔 및 광자 빔을 상호작용 포인트로 동시에 전달하는 것을 포함하는, 주기적 구조체 특성 측정 방법.
  7. 제 6 항에 있어서,
    상기 전자 빔 내의 전자의 에너지를 조절함으로써 상기 방사선 빔의 파장을 조절하는 단계를 더 포함하는, 주기적 구조체 특성 측정 방법.
  8. 제 6 항에 있어서,
    상기 전자 빔은 전자총 및 선형 가속기를 사용하여 생성되는, 주기적 구조체 특성 측정 방법.
  9. 제 1 항에 있어서,
    상기 방사선 빔은 5 내지 125 nm의 파장을 가지는, 주기적 구조체 특성 측정 방법.
  10. 제 1 항에 있어서,
    상기 방사선 빔은 10 내지 100 nm의 파장을 가지는, 주기적 구조체 특성 측정 방법.
  11. 제 1 항에 있어서,
    상기 주기적 구조체의 특성은, 오버레이 에러, 구조체 크기, 라인 두께, 임계 치수, 층 조성, 층 두께, 재료 균일성, 층 균일성, 리소그래피 공정으로부터 기인하는 오염 및/또는 손상을 결정하는 데에 이용되는, 주기적 구조체 특성 측정 방법.
  12. 전자들을 출력하도록 구성되는 전자 소스 및 광자들을 출력하도록 구성되는 광자 소스를 포함하는 방사선 소스로서, 상기 전자들과 광자들은 상호작용 포인트에 동시에 도달하며, 상기 광자들은 역콤프턴 산란을 거친 후 0.01 내지 125 nm 범위 내의 파장을 가지며, 상기 방사선 소스는 상기 광자들을 출력하도록 구성되는, 방사선 소스;
    상기 방사선 소스에 의해 출력되는 광자들을 주기적 구조체에 지향시키도록 구성되는 조명 시스템; 및
    상기 주기적 구조체에 의해 반사되거나 투과되어 회절된 방사선을 검출하도록 구성되는 검출 시스템을 포함하는, 계측 장치.
  13. 제 12 항에 있어서,
    상기 광자들은 상기 주기적 구조체에서 10 μm 미만의 빔 직경을 가지는, 계측 장치.
  14. 제 12 항에 있어서,
    상기 파장을 조절하기 위해 상기 전자들의 에너지를 조절하도록 구성되는 제어기를 더 포함하는, 계측 장치.
  15. 제 14 항에 있어서,
    상기 계측 장치는, 1초에 적어도 2회의 빈도로, 상기 제어기로 하여금 상기 파장을 조절하게 하고 상기 검출 시스템으로 하여금 상기 주기적 구조체에 의해 반사되거나 투과되어 회절된 방사선을 검출하게 하도록 구성되는, 계측 장치.
  16. 제 12 항에 있어서,
    상기 계측 장치는 반도체 기판 상의 다양한 위치에 형성된 구조체의 특성을 측정하도록 구성되고,
    상기 광자들의 입사각은 상기 반도체 기판의 표면에 대해 2°보다 큰, 계측 장치.
  17. 제 12 항에 있어서,
    상기 광자들은 역콤프턴 산란을 거친 후 5 내지 125 nm의 파장을 가지는, 계측 장치.
  18. 제 12 항에 있어서,
    상기 광자들은 역콤프턴 산란을 거친 후 10 내지 100 nm의 파장을 가지는, 계측 장치.
  19. 제 12 항에 있어서,
    상기 주기적 구조체의 특성은, 오버레이 에러, 구조체 크기, 라인 두께, 임계 치수, 층 조성, 층 두께, 재료 균일성, 층 균일성, 리소그래피 공정으로부터 기인하는 오염 및/또는 손상을 결정하는 데에 이용되는, 계측 장치.
  20. 리소그래피 장치로서,
    패터닝 디바이스 상에 패턴을 조명하도록 구성되는 조명 장치;
    상기 패턴의 이미지를 기판 상으로 투영하도록 구성되는 투영 시스템; 및
    계측 장치를 포함하고, 상기 계측 장치는:
    전자들을 출력하도록 구성되는 전자 소스 및 광자들을 출력하도록 구성되는 광자 소스를 포함하는 방사선 소스로서, 상기 전자들과 광자들은 상호작용 포인트에 동시에 도달하며, 상기 광자들은 역콤프턴 산란을 거친 후 0.01 내지 125 nm 범위 내의 파장을 가지며, 상기 방사선 소스는 상기 광자들을 출력하도록 구성되는, 방사선 소스;
    상기 방사선 소스에 의해 출력되는 광자들을 주기적 구조체에 지향시키도록 구성되는 조명 시스템; 및
    상기 주기적 구조체에 의해 반사되거나 투과되어 회절된 방사선을 검출하도록 구성되는 검출 시스템을 포함하는, 리소그래피 장치.
KR1020187004699A 2015-08-12 2016-08-03 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법 KR102098035B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15180740 2015-08-12
EP15180740.1 2015-08-12
PCT/EP2016/068479 WO2017025392A1 (en) 2015-08-12 2016-08-03 Metrology methods, radiation source, metrology apparatus and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20180030191A KR20180030191A (ko) 2018-03-21
KR102098035B1 true KR102098035B1 (ko) 2020-04-08

Family

ID=53800909

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187004699A KR102098035B1 (ko) 2015-08-12 2016-08-03 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법

Country Status (6)

Country Link
US (2) US10342108B2 (ko)
KR (1) KR102098035B1 (ko)
CN (1) CN107924118B (ko)
IL (1) IL256816B (ko)
TW (1) TWI626422B (ko)
WO (1) WO2017025392A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9867272B2 (en) * 2012-10-17 2018-01-09 Cornell University Generation and acceleration of charged particles using compact devices and systems
CN107924118B (zh) 2015-08-12 2022-08-09 Asml荷兰有限公司 量测方法、辐射源、量测设备及器件制造方法
WO2017186491A1 (en) 2016-04-28 2017-11-02 Asml Netherlands B.V. Hhg source, inspection apparatus and method for performing a measurement
WO2017186483A1 (en) 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
WO2017191084A1 (en) 2016-05-04 2017-11-09 Asml Netherlands B.V. Method and apparatus for generating illuminating radiation
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
EP3361315A1 (en) 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
EP3370486A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
EP3410211A1 (en) 2017-05-31 2018-12-05 Stichting VU Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
KR102272894B1 (ko) 2017-05-31 2021-07-05 에이에스엠엘 네델란즈 비.브이. 측정 방법의 성능을 예측하는 방법 및 장치, 측정 방법 및 장치
CN110799903B (zh) 2017-06-20 2021-11-16 Asml荷兰有限公司 确定边缘粗糙度参数
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
US11333621B2 (en) * 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
EP3435161A1 (en) 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
US11317500B2 (en) * 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
EP3518041A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. Inspection apparatus and inspection method
US10714303B2 (en) 2018-07-19 2020-07-14 International Business Machines Corporation Enabling high throughput electron channeling contrast imaging (ECCI) by varying electron beam energy
CN113455107B (zh) * 2018-11-02 2024-06-18 埃因霍温科技大学 强、窄带、完全相干、软x射线的可调谐源
EP3686673A1 (en) 2019-01-25 2020-07-29 ASML Netherlands B.V. Wavefront sensor and associated metrology apparatus
KR20220005913A (ko) * 2020-07-07 2022-01-14 삼성전자주식회사 퓨필 이미지 기반 패턴 균일도 측정 장치와 방법, 및 그 측정 방법을 이용한 마스크 제조방법
US20230280661A1 (en) * 2020-08-05 2023-09-07 Asml Netherlands B.V. A fabrication process deviation determination method, calibration method, inspection tool, fabrication system and a sample
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002139758A (ja) 2000-10-31 2002-05-17 Sony Corp 光短波長化装置
US20080073572A1 (en) 2006-07-20 2008-03-27 Siegfried Schwarzl Systems and methods of measuring power in lithography systems
JP2013171630A (ja) * 2012-02-17 2013-09-02 Canon Inc X線発生装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5247562A (en) 1992-07-16 1993-09-21 The Massachusetts Institute Of Technology Tunable source of monochromatic, highly-directional x-rays and a method for producing such radiation
JP2000294523A (ja) 1999-04-01 2000-10-20 Sony Corp 半導体製造装置および半導体装置の製造方法
JP2002280200A (ja) 2001-03-21 2002-09-27 Sumitomo Heavy Ind Ltd X線発生装置及び発生方法
US7382861B2 (en) 2005-06-02 2008-06-03 John M. J. Madey High efficiency monochromatic X-ray source using an optical undulator
JP4822267B2 (ja) 2006-04-04 2011-11-24 独立行政法人産業技術総合研究所 二帯域短パルス高輝度光源装置
US7920676B2 (en) 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
JP5683236B2 (ja) 2010-11-29 2015-03-11 兵庫県 形状測定装置
JP5208224B2 (ja) 2011-01-12 2013-06-12 富士フイルム株式会社 放射線撮影装置、及び放射線撮影システム
DE102011082821A1 (de) 2011-09-16 2012-10-04 Carl Zeiss Smt Gmbh EUV-Strahlungsquelle
US9398681B2 (en) 2012-07-20 2016-07-19 The Board Of Trustees Of The Leland Stanford Junior University Distributed coupling high efficiency linear accelerator
KR101872752B1 (ko) * 2013-12-13 2018-06-29 에이에스엠엘 네델란즈 비.브이. 방사선 소스, 계측 장치, 리소그래피 시스템 및 디바이스 제조 방법
US20150285749A1 (en) * 2014-04-03 2015-10-08 Massachusetts Institute Of Technology Compact X-Ray Source for CD-SAXS
US10060865B2 (en) * 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
CN107924118B (zh) 2015-08-12 2022-08-09 Asml荷兰有限公司 量测方法、辐射源、量测设备及器件制造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002139758A (ja) 2000-10-31 2002-05-17 Sony Corp 光短波長化装置
US20080073572A1 (en) 2006-07-20 2008-03-27 Siegfried Schwarzl Systems and methods of measuring power in lithography systems
JP2013171630A (ja) * 2012-02-17 2013-09-02 Canon Inc X線発生装置

Also Published As

Publication number Publication date
US20180220518A1 (en) 2018-08-02
TWI626422B (zh) 2018-06-11
US10555407B2 (en) 2020-02-04
US20190246480A1 (en) 2019-08-08
US10342108B2 (en) 2019-07-02
KR20180030191A (ko) 2018-03-21
IL256816B (en) 2022-05-01
CN107924118B (zh) 2022-08-09
CN107924118A (zh) 2018-04-17
WO2017025392A1 (en) 2017-02-16
IL256816A (en) 2018-03-29
TW201715198A (zh) 2017-05-01

Similar Documents

Publication Publication Date Title
KR102098035B1 (ko) 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법
KR102098034B1 (ko) 검사 장치, 검사 방법 및 제조 방법
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US10254644B2 (en) Metrology methods, metrology apparatus and device manufacturing method
KR102010941B1 (ko) 계측 방법, 계측 장치 및 디바이스 제조 방법
US10222709B2 (en) Metrology method, metrology apparatus and device manufacturing method
TWI673472B (zh) 檢測裝置、檢測方法及製造方法
CN110799903B (zh) 确定边缘粗糙度参数
US10379448B2 (en) Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
IL262210A (en) Hhg cold, test instrument and method for measuring
JP6727327B2 (ja) 照明放射を発生するための方法及び装置
US20180239160A1 (en) Methods of Aligning a Diffractive Optical System and Diffracting Beams, Diffractive Optical Element and Apparatus
KR20210044289A (ko) 광학 시스템, 계측 장치 및 관련 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant