CN110291464A - 用于预测测量方法的性能的方法和设备、测量方法和设备 - Google Patents

用于预测测量方法的性能的方法和设备、测量方法和设备 Download PDF

Info

Publication number
CN110291464A
CN110291464A CN201880011277.0A CN201880011277A CN110291464A CN 110291464 A CN110291464 A CN 110291464A CN 201880011277 A CN201880011277 A CN 201880011277A CN 110291464 A CN110291464 A CN 110291464A
Authority
CN
China
Prior art keywords
radiation
equipment
target
source
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880011277.0A
Other languages
English (en)
Other versions
CN110291464B (zh
Inventor
S·G·J·马西森
S·B·罗博尔
林楠
W·M·J·M·柯恩
A·J·登鲍埃夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN110291464A publication Critical patent/CN110291464A/zh
Application granted granted Critical
Publication of CN110291464B publication Critical patent/CN110291464B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7069Alignment mark illumination, e.g. darkfield, dual focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection

Abstract

通过光刻过程在衬底(W)上形成诸如重叠光栅(Ta和Tb)之类的目标结构。用第一辐射(456a)的斑(Sa)照射第一目标,同时用第二辐射(456b)的斑(Sb)照射第二目标。传感器(418)在不同部位处检测所述第一辐射的已经被所述第一目标的特征在第一方向上衍射的部分(460x‑、460x+),以及所述第二辐射的已经被所述第二目标的特征在第二方向上衍射的部分(460y‑、460y+)。可以同时检测X方向和Y方向上的不对称性,从而减少在X和Y上进行重叠测量所需的时间。可以简单地通过激励较高次谐波产生(HHG)辐射源或逆康普顿散射源中的两个部位(710a、710b)来产生软x‑射线波长的两个辐射的斑。

Description

用于预测测量方法的性能的方法和设备、测量方法和设备
相关申请的交叉引用
本申请要求于2017年2月9日提交的欧洲申请17155453.8的优先权,并且通过引用而将其全文并入本发明中。
技术领域
本公开涉及用于可用在例如通过光刻技术的器件制造中的检查(例如量测)的方法和设备,且涉及使用光刻技术来制造器件的方法。
背景技术
光刻设备是一种将所期望的图案施加到衬底(通常是在衬底的目标部分上)上的机器。例如,光刻设备可以用于集成电路(IC)的制造中。在这种情况下,可以将可替代地称为掩模或掩模版的图案形成装置用于生成要在IC的单层上形成的电路图案。可以将所述图案转印到衬底(例如硅晶片)上的目标部分(例如包括管芯的一部分、一个或更多个管芯)上。通常,通过将图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行图案的转印。通常,单个衬底将包含被连续图案化的相邻目标部分的网络。这些目标部分通常称为“场”。
在光刻过程中,经常期望对所产生的结构进行测量,例如用于过程控制和验证。已知用于进行这种测量的各种工具,包括通常用于测量临界尺寸(CD)的扫描电子显微镜和用于测量重叠的专用工具,重叠是器件中的两个层的对准的准确度。近来,已开发用于光刻领域的各种形式的散射仪。这些装置将辐射束引导到目标上,并且测量散射辐射的一个或更多个属性,例如在单个反射角下作为波长的函数的强度、在一种或更多种波长下作为反射角的函数的强度、或者作为反射角的函数的偏振,以获得“光谱”,可以根据所述“光谱”确定目标的感兴趣的属性。
已知散射仪的示例包括US2006033921A1和US2010201963A1中所述类型的角度分辨散射仪。这种散射仪所使用的目标是相对大(例如40μm×40μm)的光栅,测量束产生比光栅小的斑(即,光栅未被填充满)。除了通过重构进行特征形状的测量之外,也可使用这种设备测量基于衍射的重叠,如公开专利申请US2006066855A1中所描述。通常通过测量两个重叠光栅的不对称性来获得重叠测量结果,每个重叠光栅具有不同的被编程的(有意的)偏移或“偏置”。使用衍射级的暗场成像的基于衍射的重叠量测实现了较小目标的重叠测量。暗场成像量测的示例可以在已公布的专利申请US2014192338和US2011069292A1中找到。已经在多个已公开的专利公开出版物中描述了所述技术的进一步发展。这些目标可以小于照射斑并且可以被晶片上的产品结构包围。使用复合光栅目标可以在一幅图像中测量多个光栅。这些发展使得重叠测量快速且计算非常简单(一旦校准)。
同时,已知的暗场成像技术采用在可见光或紫外波段中的辐射。这限制了可以被测量的最小特征,因此所述技术不再能够直接测量现代光刻过程中产生的最小特征。为了测量较小的结构,已经提出了使用较短波长的辐射,类似于EUV光刻术中使用的极紫外(EUV)波长。这些波长也可以称为软x射线波长,并且可以在例如1至100nm的范围内。在已公布的专利申请WO2015172963A1中公开了在透射和/或反射散射模式中使用这些波长的透射和反射量测技术的示例。在待审专利申请PCT/EP2016/068317和US 15/230,937(要求于2015年8月12日提交的EP15180807.8的优先权)和PCT/EP2016/068479(要求于2015年8月12日提交的EP15180740.1的优先权)中公开了在透射和/或反射散射模式中使用这些波长的量测技术和设备的另外的示例,这些申请未在本优先权日期公布。所有这些申请的内容通过引用并入本发明。
常规的SXR辐射源包括较高次谐波产生(HHG)源,其中来自激光器的红外泵浦通过与气态介质的相互作用而转换成更短波长的辐射。HHG源可以从例如KMLabs、BoulderColorado、USA(http://www.kmlabs.com/)获得。也考虑了HHG源的各种修改以应用于光刻术的检查设备。例如,在2016年11月11日的欧洲专利申请号16198346.5中公开了这些修改中的一些,所述申请未在本申请的优先权日公布。在美国专利申请15/388,463和国际专利申请PCT/EP2016/080103中公开了其它修改,两者都要求2015年12月23日的欧洲专利申请号15202301.6的优先权,该优先权也未在本申请的优先权日公布。这两者的内容通过引用并入本发明。另一类型的源是在上文提到的申请PCT/EP2016/068479中描述的逆康普顿散射(ICS)源。
没有单个量测技术满足所有要求,并且已经提出了混合量测系统,以在紧凑且成本有效的系统中组合不同类型的测量和不同的波长。在本优先权日未公布的国际专利申请PCT/EP2016/080058中公开了这种混合技术的示例。
不幸的是,与这种波长兼容的光学系统的成本和其他限制使得实施小目标的暗场成像在商业上没有吸引力。
发明内容
本发明旨在改进用SXR波长辐射操作的检查设备的生产量,其中暗场成像的速度优势是不可获得的。
本发明的第一方面提供一种检查设备,包括照射系统和检测系统,
其中所述照射系统包括源布置,所述源布置用于在辐射产生空间中的第一源部位处产生第一辐射并用于同时在同一辐射产生空间中的第二源部位处产生第二辐射,所述第一辐射和所述第二辐射包括小于100nm的波长,
其中所述照射系统的光学系统布置成聚焦来自所述第一源部位和所述第二源部位两者的辐射,以便用所述第一辐射的斑照射第一目标部位,同时用所述第二辐射的斑照射第二目标部位,以及
其中所述检测系统布置成在一个或更多个第一检测部位处检测所述第一辐射的已经由在所述第一目标部位处的第一目标结构在第一方向上衍射的部分,同时用于在一个或更多个第二检测部位处检测所述第二辐射的已经由在所述第二目标部位处定位的第二目标结构的特征在第二方向上衍射的部分。
以这种方式,例如,可以同时对两个目标进行测量不对称性的测量,即使在没有暗场成像的设施的情况下。同时测量两个目标大大降低了在不同方向上测量多个目标所需的测量开销。
本发明的第二方面提供了一种检查已经通过光刻过程在衬底上形成的结构的方法,所述方法包括:
用第一辐射照射第一目标,同时用第二辐射照射第二目标,所述第一辐射和所述第二辐射包括小于100nm的波长;
在一个或更多个第一检测部位处检测所述第一辐射的已经由所述第一目标的特征在第一方向上衍射的部分;以及
在一个或更多个第二检测部位处检测所述第二辐射的已经由所述第二目标的特征在第二方向上衍射的部分。
可以例如使用如上所述的本发明的第一方面的检查设备来执行所述方法。在更简单的实施方式中,也可以使用单个辐射的斑来执行所述方法,所述斑部分地在第一目标上延伸,并且部分地在第二目标上延伸。
本发明还提供一种制造器件的方法,所述方法包括光刻过程步骤,其中,在执行所述光刻过程步骤之前或之后,通过根据如上所述的本发明的第二方面所述的方法获得在衬底上的第一目标和第二目标的测量结果,其中将所获得的测量结果用于调整所述光刻过程步骤的参数,从而用于处理所述衬底和/或另外的衬底。
本发明还提供一种计算机程序产品,包括机器可读指令,用于使处理器实施根据如上所述的本发明第一方面的检查设备的处理布置。所述机器可读指令可以提供成非暂时性存储介质。
本发明还提供一种系统,所述系统包括检查设备,所述检查设备配置成在目标结构上提供辐射的束,以及配置成检测由所述目标衍射的辐射,以结合如上所述的根据本发明的计算机程序来确定图案化过程的参数。所述系统还可以包括光刻设备,所述光刻设备包括:支撑结构,配置成保持用于调制辐射束的图案形成装置;以及投影光学系统,布置成将调制后的辐射束投影到辐射敏感衬底上。
在下文中参考附图详细地描述进一步的特征和优点以及多个实施例的结构和操作。应注意,本发明不限于本文所描述的特定实施例。本发明中仅出于例示性目的而提出这些实施例。基于本发明中包含的教导,额外的实施例对于本领域技术人员而言将是清楚的。
附图说明
现在将参考附图仅通过举例的方式描述实施例,在附图中:
图1描绘了光刻设备和构成用于半导体器件的生产设施的其他设备,所述其他设备包括混合量测设备,所述混合量测设备包括根据本发明的一实施例的检查设备;
图2示出了(a)量测设备的示意性一般的布置以及在图1所示的生产设施中的示例混合量测设备的数据流和(b)掠入射辐射和正入射辐射与示例设备中的重叠目标结构的相互作用;
图3更详细地示出了在图2的示例混合量测设备中的部件的布置,所述混合量测设备包括可以应用本发明的检查设备的第一实施例;
图4示出了可以应用本发明的检查设备的第二实施例的部分细节;
图5示出了(a)复合光栅目标和(b)在不使用本发明的情况下,在图3和图4的检查设备中检测到的衍射信号;
图6示出了(a)复合光栅目标和(b)当应用本发明时在图3和图4的检查设备中检测到的衍射信号;
图7更详细地示出了由图3或图4的检查设备同时照射两个目标的示意图;
图8更详细地图示了用于生成图3或图4的检查设备中的辐射的示例布置;
图9示意性地图示了根据本发明的另外的实施例的混合量测设备中的驱动激光器的共用;
图10示意性地图示了根据本发明另外的实施例的混合量测设备中的驱动激光器的共用和不同检查设备的同时操作;以及
图11是图示使用由图1的混合量测系统进行的测量来控制量测方法和/或光刻制造过程的性能的方法的流程图。
具体实施方式
在详细地描述本发明的实施例之前,提出可以实现本发明的实施例的示例环境是有指导意义的。
图1中的100示出了一种光刻设备LA,其作为实施大容量光刻制造过程的工业设施的一部分。在本示例中,制造过程被调适用于在诸如半导体晶片的衬底上制造半导体产品(集成电路)。本领域技术人员应了解,可以通过以这个过程的变型的方式来处理不同类型的衬底从而制造各种各样的产品。半导体产品的生产仅作为在现今具有重大的商业意义的示例。
在光刻设备(或简称“光刻工具”100)内,测量站MEA在102处示出,曝光站EXP在104处示出。控制单元LACU在106处示出。在所述示例中,每个衬底访问测量站和曝光站以被施加图案。在光学光刻设备中,例如,投影系统用于使用被调节的辐射和投影系统将产品图案从图案形成装置MA转印到衬底上。这是通过在辐射敏感抗蚀剂材料层中形成图案的图像来完成的。
在本文中所使用的术语“投影系统”应被广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型以及静电型光学系统或者它们的任意组合,如对于所使用的曝光辐射或者诸如使用浸没液体或使用真空等其它因素所适合的。图案形成MA装置可以是将图案赋予至由图案形成装置透射或反射的辐射束的掩模或掩模版。众所周知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以各种方式与用于衬底和图案形成装置的支撑和定位系统协作,以将所期望的图案施加到横跨衬底的许多目标部分。可以使用可编程图案形成装置来代替具有固定图案的掩模版。例如,辐射可以包括深紫外(DUV)或极紫外(EUV)波段中的电磁辐射。本公开还适用于其他类型的光刻过程,例如压印光刻术和例如通过电子束的直写光刻术。
光刻设备控制单元LACU控制各种致动器和传感器的所有移动和测量,使得设备接收衬底W和掩模版MA并实施图案化操作。LACU还包括信号处理和数据处理能力,以实施与设备的操作相关的期望计算。在实践中,控制单元LACU将实现为许多子单元的系统,每个子单元处理所述设备内的子系统或部件的实时数据采集、处理和控制。
在将图案施加到曝光站EXP处的衬底之前,在测量站MEA处处理衬底,使得可以执行各种预备步骤。预备步骤可以包括使用水平高度传感器来绘制衬底的表面高度,和使用对准传感器来测量衬底上的对准标记的位置。对准标记名义上布置成规则的栅格图案。然而,由于产生标记时的不准确性以及由于在其整个处理过程中发生的衬底变形,所以这些标记偏离理想栅格。因此,除了测量所述衬底的位置和方向之外,如果设备要以非常高的准确度在正确的部位处印制产品特征,则在实践中所述对准传感器必须详细测量横跨衬底区域的许多标记的位置。
光刻设备LA可以是所谓的双平台型,其具有两个衬底台,每个衬底台具有由控制单元LACU控制的定位系统。在曝光站EXP处正在曝光一个衬底台上的一个衬底的同时,可以在测量站MEA处将另一衬底装载至另一衬底台上以使得执行各种预备步骤。因此,对准标记的测量非常耗时,设置两个衬底台能够显著地提高设备的生产量。如果位置传感器IF在测量站和曝光站处时都不能测量衬底台的位置,则可以设置第二位置传感器以实现在两个站处追踪衬底台的位置。当光刻设备LA是具有两个衬底台的所谓的双平台型时,曝光站和测量站可以是不同的部位,在所述部位之间可以交换衬底台。[然而,这只是一种可能的布置,测量站和曝光站不需要那么不同。例如,已知具有单个衬底台,在曝光前测量阶段期间测量平台被临时耦接至所述单个衬底台。本公开内容不限于任一类型的系统。]
在生产设施内,设备100构成“光刻单元”或“光刻簇”的一部分,所述“光刻单元”或“光刻簇”还包含涂覆设备108,用于将光敏抗蚀剂和其它涂层施加到衬底W,以用于由设备100图案化。在设备100的输出侧,设置焙烤设备110和显影设备112,用于将曝光后的图案显影成实体抗蚀剂图案。在所有这些设备之间,衬底处理系统负责支撑所述衬底并将它们从一件设备转移到下一件设备。这些设备通常统称为“轨道”,并由轨道控制单元控制,轨道控制单元本身由管理控制系统SCS控制,所述管理控制系统SCS也经由光刻设备控制单元LACU控制光刻设备。因此,可以操作不同的设备以最大化生产量和处理效率。管理控制系统SCS接收选配方案信息R,选配方案信息R非常详细地提供了待执行以创建每个图案化的衬底的步骤的定义。
一旦已经在光刻单元中施加并显影了图案,就将图案化的衬底120转移到诸如在122、124、126处所示的其它处理设备。由典型的制造设施中的各种设备实施各种处理步骤。为了举例,所述实施例中的设备122是蚀刻站,并且设备124执行蚀刻后退火步骤。另外的物理和/或化学处理步骤在另外的设备126等中被施加。制造真实的器件可能需要许多类型的操作,诸如材料的沉积、表面材料特性的改性(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等。在实践中,设备126可以代表在一个或更多个设备中执行的一系列不同的处理步骤。
众所周知,半导体器件的制造涉及这种处理的多次重复,以在衬底上逐层地建造具有适当材料和图案的器件结构。因此,到达光刻簇的衬底130可以是新准备的衬底,或者它们可以是先前已经在该簇中或完全在另一个设备中被处理的衬底。类似地,依赖于所需的处理,离开设备126的衬底132可以被返回以用于在同一光刻簇中的后续图案化操作,它们可以在不同的簇中进行图案化操作,或者它们可以是待被送去用于切割和封装的成品。
产品结构中的每一层要求一组不同的过程步骤,并且在每一层处使用的设备126的类型可以完全不同。此外,即使在待由设备126施加的处理步骤名义上是相同的情况下,也可能在大型设施中存在几个假设相同的机器并行地工作以在不同的衬底上执行步骤126。这些机器之间的设置或故障的小差异可能意味着它们以不同的方式影响不同的衬底。甚至对于每层是相对共用的步骤,诸如蚀刻(设备122),也可以通过几个名义上相同但并行工作的蚀刻设备来实施以最大化生产量。此外,在实践中,不同的层根据待蚀刻的材料的细节和诸如例如各向异性蚀刻的特定要求而需要不同的蚀刻过程,例如化学蚀刻、等离子体蚀刻。
可以在其它光刻设备中执行先前和/或后续的过程(如刚才所提到),且甚至可以在不同类型的光刻设备中执行先前和/或后续的过程。例如,在器件制造过程中,在诸如分辨率和重叠等参数上要求非常高的一些层相比于其它要求较不高的层可以在更先进的光刻工具中执行。因此,一些层可以在浸没型光刻工具中曝光,而其它层在“干型”工具中曝光。一些层可以在DUV波长下工作的工具中曝光,而其它层使用EUV波长辐射曝光。
为了正确且一致地曝光由光刻设备所曝光的衬底,期望检查被曝光的衬底以测量诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等属性。由此,其中定位光刻单元LC的制造设施也包括量测系统MET,量测系统MET接收已在光刻单元中处理的衬底W中的一些或全部。将量测结果直接或间接地提供至管理控制系统(SCS)138。如果检测到误差,则可以对后续衬底的曝光进行调整,尤其在量测可以足够迅速地且快速地进行使得同一批次的其他衬底仍处于待曝光的情况下。此外,已经曝光过的衬底可以被剥除以及返工以改善良率,或被废弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步曝光。
图1还示出了量测设备140,所述量测设备140设置用于在制造过程中的期望的阶段进行产品的参数的测量。现代光刻生产设施中的量测设备的常见示例是散射仪(例如角分辨散射仪或光谱散射仪),并且它可以被应用于在设备122中的蚀刻之前在120处测量被显影的衬底的属性。通过使用量测设备140可以确定,例如诸如重叠或临界尺寸(CD)的重要性能参数不满足被显影的抗蚀剂中的规定的准确度要求。在蚀刻步骤之前,存在剥除被显影的抗蚀剂并且通过光刻簇重新处理衬底120的机会。还众所周知,来自设备140的量测结果142可以用于通过随时间进行小的调整的管理控制系统SCS和/或控制单元LACU106来维持光刻簇中的图案化操作的准确性能,由此最小化产品被制造出不符合规格、需要返工的风险。当然,量测设备140和/或其它量测设备(未示出)可以应用于测量被处理的衬底132、134和进入的衬底130的属性。
如果需要,则量测设备140可以实现混合量测系统。现代光刻生产设施中的量测设备的常见示例是散射仪(例如角分辨散射仪或光谱散射仪),并且它可以被应用于在设备122中的蚀刻之前在120处测量被显影的衬底的属性。混合量测系统可以包括在不同波长下工作的散射仪,以及额外类型的检查设备,从而可以在混合量测系统内执行多种类型的测量,以获得对给出的目标结构上一个或更多个感兴趣的参数的更好的整体测量。
混合量测系统中的每个检查设备可以具有用于特定特性的辐射的特定照射系统。在上文提到的待审国际专利申请号PCT/EP2016/080058中给出了可以被组合的多种类型的设备的更详细的示例。本公开涉及一种特定形式的检查设备和方法,所述检查设备可以被应用为混合量测系统中的检查设备之一,但是如果需要也可以独立地应用。
每一代光刻制造技术(通常称为技术“节点”)对诸如CD之类的性能参数具有更严格的规范。量测的主要挑战之一是产品内特征的尺寸变得越来越小,这个更小的特征尺寸也应体现在量测目标的设计中。因此,量测设备140可以包括设计用于利用波长比常规的可见波长或UV波长更短的辐射操作的检查设备。作为特定示例,可以使用软x-射线(SXR)辐射(也称为极紫外EUV辐射),并且波长在1-100nm的范围内。
图2图示了混合量测系统200的简单示例,其中设置了第一检查设备和第二检查设备。每个检查设备包括辐射源SRC1/SRC2、照射系统IL1/IL2和检测系统DET1/DET2/3。可以生产混合量测设备,所述混合量测设备包括用于执行的SXR检查设备和用于执行更多常规测量的更长波长的光学检查设备两者。在其他示例中,第一检查设备和第二检查设备两者都可以被设计成利用相同或不同波长的SXR辐射操作。两个检查设备可以同时在同一衬底W的相同部分或不同部分上工作。这两个检查设备实际上可以在不同的时间操作,而共用诸如衬底处理和定位系统之类的公共部件。量测设备可以与光刻设备LA本身集成在一起或集成在光刻单元LC内。在量测处理单元MPU内,可以设置专用模块210-1、210-2以在一定程度上处理从检测系统DET1/DET2中的每一个接收的数据。预处理结果被从这些专用模块传输到混合处理模块212,所述混合处理模块212组合来自单个检查设备的信息以获得目标结构T的期望参数的测量结果。
在图2的特定示例中,期望测量与目标结构的不对称性相关的属性,例如以获得重叠的量度,作为光刻过程的性能参数。如图2(b)所示,目标结构T可以包括下层中的光栅特征T1以及上层中的光栅特征T2。这些结构中的任何一个或两个可以埋在另外的层(未示出)下方。当测量重叠时,所报告的测量的已知方法可能对不是由这样重叠引起的不对称性非常敏感。使用图2的系统应用混合量测技术可以帮助将真正由于感兴趣的参数而引起的那些效应与具有其他原因的那些效应隔离。
可以在图2(b)的放大示意性细节中看到可以被改善的重叠测量的准确度的一种方式。在第一检查步骤中使用的第一辐射220-1具有掠入射并且很少穿透到衬底W上形成的层的叠层中。第一光谱数据由第一检测系统DET1捕获,并且受下层影响很小。然后,可以由专用模块210-1测量并报告包含光栅特征T2的上层的属性。具有更大入射角并且可能具有不同的波长特性和其他属性的第二辐射220-2较完全地穿透到所述层中。因此,第二光谱数据包含与感兴趣的参数有关的不对称性信息,具体地,包括上光栅特征T1和下光栅特征T2的重叠目标的不对称性。在混合处理模块212中组合这些光谱的处理的情况下,使用从第一光谱获得的知识,可以调整在第二光谱中承载的重叠测量以去除下层的影响。
多个层之间的重叠只是目标结构的不对称性相关参数的一个示例。在多重图案化过程中,不在一个图案化操作中而是在两个或更多个图案化步骤中将结构形成于产品的一个层中。因此,例如,第一种群结构可以与第二种群结构交错,并且这些种群在不同的步骤中形成,以便实现比单独一个步骤可以产生的更高的分辨率。虽然这些种群的放置相对于衬底上的其它特征应是相同且完美的,但当然,每个真实的图案都表现出一定的位置偏移。这些种群之间的任何无意的位置偏移都可以被视为重叠的形式,并且可以通过由多重图案化过程形成的目标光栅或产品特征的不对称性来测量。对于简单的光栅结构,也可以测量其他类型的不对称性,例如侧壁不对称性和沟槽底部不对称性。
通过使用混合量测系统,可以减少这些不同的与不对称性有关的参数之间的串扰,以隔离感兴趣的参数的测量。如已经提到的,本公开不限于混合量测系统。
图3示出了作为图2的混合量测系统200的示例的混合量测系统300的示意性物理布置。所述混合量测系统可以是独立的装置,或者被包含在光刻设备LA或光刻单元LC中。所述设备当然可以与诸如SEM设备之类的其他设备一起使用,作为更大的测量系统的一部分。
这个示例中的混合量测系统300包括使用呈掠入射的SXR辐射的第一检查设备302,所述第一检查设备302可以类似于图2的第一检查设备。第二检查设备304设置成散射仪的形式,其使用类似于图2的第二检查设备的呈正入射或接近正入射的SXR辐射。第一检查设备302包括第一辐射源(SRC1)310、第一照射系统(IL1)312、衬底支撑件316、第一检测系统(DET1)318、以及量测处理单元(MPU)320的一部分。这个示例中的源310包括例如基于较高次谐波产生(HHG)技术的EUV或软x-射线辐射的发生器。这些源可以从例如KMLabs,Boulder Colorado,USA(http://www.kmlabs.com/)获得。辐射源的主要部件是泵浦激光器330和HHG气体单元332。气体供应件334向气体单元供应合适的气体,其中可选地,其可以由电源336进行电离。泵浦激光器可以是例如具有光学放大器的基于光纤的激光器,从而产生每脉冲可以持续例如小于1ns(1纳秒)的红外辐射脉冲,并且脉冲重复率在需要时高达几兆赫兹。红外辐射的波长可以例如在1μm(1微米)的范围内。激光脉冲作为第一辐射束340传输到HHG气体单元332,其中所述辐射的一部分(气体)被转换成更高的频率,所述第一辐射被转换成包括期望的一个或更多个SXR波长的相干辐射的束342。
辐射可以包含多个波长。如果辐射也是单色的,则可以简化测量计算(例如重构),但是利用HHG更容易产生具有多个波长的辐射。气体单元332内的气体体积限定HHG空间,但该空间不需要被弯曲包围并且可以使用气体流代替静态体积。所述气体可以是例如惰性气体,诸如氖(Ne)或氩(Ar)。这些是设计选择的问题,甚至可能是同一设备内的可选选项。当对不同材料的结构进行成像时,不同的波长将例如提供不同的对比度水平。为了检查金属结构或硅结构,例如,可以选择不同的波长用于对(碳基)抗蚀剂的特征进行成像,或用于检测这些不同材料的污染。可以设置一个或更多个滤光装置344。例如,诸如铝(Al)质薄膜之类的滤光器可以用于切割基本的IR辐射而避免进一步传递到检查设备中。可以提供光栅以从气体单元中生成的那些波长中选择一个或更多个特定谐波波长。考虑到SXR辐射在空气中行进时被吸收,所以束路径中的一些或全部可以被包含在真空环境中。辐射源310和照射光学装置312的各种部件可以是可调整的,以在同一设备内实施不同的量测“选配方案”。例如,可以选择不同的波长和/或偏振。
对于大容量制造应用,将由成本和硬件尺寸而不仅仅由理论能力引导合适的源的选择,并且这里选择HHG源作为示例。其他类型的源也是可获得的,或者是正在开发中(原则上可以应用)。多个示例是同步加速器源、FEL(自由电子激光器)源和所谓的x射线激光器。也可以使用基于逆康普顿散射的源。
依赖于受检查的结构材料,不同的波长可以提供穿透到多个下层中期望的水平。为了分辨最小器件特征和最小器件特征之中的缺陷,然后可能优选短波长。例如,可以选择1-20nm或1-10nm范围内的一个或更多个波长。短于5nm的波长当反射远离半导体制造中通常感兴趣的材料时经受非常低的临界角。因此,选择大于5nm的波长将在更大入射角下提供更强的信号。另一方面,如果检查任务是用于检测某种材料的存在,例如用于检测污染,那么高达50nm的波长可能是有用的。
被滤波的束342从第一辐射源310进入检查腔室350,在所述检查腔室中包括感兴趣的结构的衬底W被衬底支撑件316保持以供检查。感兴趣的结构被标记为T。检查腔室350内的气氛由真空泵352保持成接近真空,使得EUV辐射可以通过气氛而不过度衰减。照射系统312具有将辐射聚焦成聚焦的束356的功能,并且可以包括例如二维弯曲的反射镜或一系列一维弯曲的反射镜(如上所述地如国际申请号PCT/EP2016/080058中所述)。执行所述聚焦以当投影到感兴趣的结构上时实现直径小于10μm的圆形或椭圆形斑。衬底支撑件316包括例如X-Y平移台和旋转台,通过所述X-Y平移台和旋转台,可使衬底W的任何部分沿期望的方向到达束的焦点。因此,辐射斑S形成在感兴趣的结构上。
被反射的辐射360被检测器318捕获,并且第一光谱被提供给处理器320,用于计算目标结构T的属性。第一照射系统312和第一检测系统318因此形成第一检查设备。所述第一检查设备可以包括US2016282282A1中描述的那种SXR光谱反射测量。也可以提供在一个或更多个维度上的衬底的倾斜。
为了有助于斑S与所期望的产品结构的对准和聚焦,检查设备300也可以提供在量测处理器320的控制下使用辅助辐射的辅助光学装置。量测处理器320也可以与位置控制器372通信,所述位置控制器372操作所述平移台和旋转台。处理器320经由传感器接收关于衬底的位置和方向的高度准确的反馈。传感器474可以包括干涉仪,例如,所述干涉仪可以给出皮米的范围内的准确度。在第一检查设备300的操作中,由第一检测系统318捕获的第一光谱数据382被传输到量测处理单元320。
图3的混合量测系统内的第二检查设备400包括第二辐射源410、第二照射系统412和单独的检测器418。这些部件可以例如与第一检查设备300的部件的一般形式相同,并且具体差异在下文中强调。因此,辐射源410包括与辐射源310的类似编号的部件330-340类似的HHG辐射源的部件430-440。
如图2的示例中那样,这个示例中的第二检查设备400使用正入射或接近正入射的SXR辐射来执行基于衍射的不对称性的测量。根据本公开的原理,同时在多于一个目标上测量不对称性。首先将描述单个目标上的不对称性的测量。
照射系统412聚焦来自辐射源410的SXR辐射,具有将辐射聚焦成聚焦的束456的功能,并且可以包括例如二维弯曲的反射镜或一系列一维弯曲的反射镜(如上所述地如国际申请号PCT/EP2016/080058中所述)。执行行所述聚焦以实现圆形或椭圆形斑S,所述斑S的直径可以是例如小于10μm。在混合量测系统的这个示例中,将假设在第一检查设备与第二检查设备之间共用部件(诸如量测处理器320、位置控制器272和传感器374)。
为了测量用斑S照射的目标T的不对称性,由第二检查设备400内的第二检测系统捕获的第二光谱数据484被传输到量测处理单元320并进行分析以识别衍射光谱中的不对称性。所述第二光谱数据可以与所述第一光谱数据382一起使用,以计算改进的不对称性的测量结果和/或一个或更多个其他感兴趣的参数。如在上面提到的并且通过引用并入本发明的国际申请号PCT/EP2016/080058中所解释的,组合数据的方式可以变化。例如,基于从检查设备之一获得的光谱数据,在由另一设备捕获光谱之前,可以调整另一检查设备的量测选配方案。可替代地或另外,在使用从另一量测设备获得的光谱数据计算所述结构的属性之前,可以使用从一个检查设备获得的光谱数据来表征完整的目标结构T的一部分的结构和/或材料。所有这些操作都可以由量测处理器320自动进行。
图3中的反射轴线R表示相对于轴线N的反射角θr,所述反射角θr自然地是照射用辐射束456的入射角θi的函数,所述轴线N垂直于衬底W和目标T的平面。辐射束456至少部分地反射成可选地由检测系统418检测或可以被收集(dumped)的零级束458。原则上,不对称性或其他感兴趣的参数可以根据(零级)反射光谱测量,但不对称性信息在较高级衍射束460+和460-中将更强,所述较高级衍射束460+和460-以在反射轴线R任一侧成角度地衍射,如所示出的。较高级衍射束可以是第一、第二、第三等衍射级的任意组合。为简单起见,我们将简单地称为“较高级”束或“第一级”束,而不表示任何限制。不同级的相对角度将以已知的方式依赖于辐射的一个或更多个波长和目标中存在的光栅结构的空间周期。图中所示的角度纯粹是为了说明原理。
因此,量测方法可以包括使用表示来自周期性结构的较高级衍射光谱的第二光谱数据484,以测量结构中的不对称性。所述结构可以是多个被偏置的光栅中的一个。如根据在可见波长下基于衍射的重叠所知道的,不对称性可以通过比较衍射光谱的相对部分(例如通过比较+1和-1级衍射辐射)的强度来计算。所示示例中的检测系统418同时捕获衍射束460+和460-两者。在检测系统418内,对于每个束可以存在单个辐射检测元件,或者可以存在检测元件的阵列,诸如一维或二维像素阵列。单个图像传感器可以延伸以便在不同的像素区域上捕获束460+和460-。在目标在Y方向(进入图的平面中)上具有周期性的情况下,衍射束将以相似的角度被引导进出页面。检测系统也可以布置用于捕获这些衍射束。
由于照射用辐射束的短波长,所以所述目标可以由最小的产品特征或类似产品的特征制成,这对于使用较长波长的当前光学技术来说是不可能的。重叠的灵敏度被预期比当前的工具更大。通过在图2的混合测量系统中将不同类型的测量组合,可以获得准确度的进一步改进。
在图3所示的配置中,照射用辐射的入射角θi相对于法向轴线N是不对称的。因此在反射轴线R的两侧对称地找到衍射光谱的相对部分,其相对于法向轴线也不对称。非对称性信号的处理可以通过用已知结构或其他装置进行校准来调适,以区分目标的不对称性与测量配置的不对称性。多个方法可以用于校正感测布置的这种不对称性。例如在已公布的专利申请US20080239318A1、US20110292365A1或US20120242970A1中描述的技术。通过将目标旋转180°,可以仅用检测系统418的一半来顺序地检测衍射光谱的相对部分。这可能是有用的选项,例如,在束460-的衍射角将导致检测器的位置与照射用辐射456的路径之间发生冲突的情况下。
在图4所示的替代配置中,照射用束456的入射角与法向轴线N相同或非常接近。所述反射轴线R和零级反射束458的方向因此也与法线轴线一致。因此,在法向轴线的两侧对称地找到衍射光谱的相对部分。在这种情况下,检测系统418在法向轴线和照射用束456的两侧(以及还在Y方向上)对称地延伸。在这种情况下,检测系统418可以包括分离的检测器阵列,并且在所述检测器阵列之间具有空间,用于照射用束456通过。可替代地,在这种情况下,检测系统418可以包括分离的检测器阵列,并且在所述检测器阵列之间具有规格或空间(spec),用于照射用束456通过。可替代地,检测系统418可以包括单个二维图像传感器,并且在所述单个二维图像传感器中形成有孔以允许照射用束456和零级束458通过。一个或更多个传感器放置在这些传感器将捕获由于照射用辐射与目标的相互作用而产生的远场散射图案(衍射光谱)的位置。
现在参考图5,通过光刻过程在衬底W上形成复合重叠目标,其性能正在受到监控。仅作为示例,复合目标包括紧密地定位在一起的四个光栅52至55。由量测设备的照射用束形成的测量斑S一次完全匹配于一个光栅内。圆51表示衬底W上的斑S的范围。在专用于重叠测量的示例中,光栅52至55是重叠光栅,其由在形成于衬底W上的半导体器件的不同层中被图案化的上覆光栅形成(如图2(b)所示)。光栅52至55可以具有被不同偏置的重叠偏移,以便便于在其中形成复合光栅的不同部分其中的层之间的重叠测量。光栅52至55也可以在其方向方面不同(如所示出的)以便沿X方向和Y方向对入射辐射进行衍射。在一个示例中,光栅52和54分别是具有+d、-d偏置的X方向光栅。这意味着,光栅52具有其上覆分量,其布置成使得如果它们两者都恰好被印制在它们的名义部位上,则所述上覆分量中的一个将相对于另一个偏移距离d。光栅54具有其分量,所述分量布置成使得如果被完美地印制则将偏移为d,但是在与第一光栅的相反的方向上,等等。光栅53和55是分别具有+d和-d偏移的Y方向光栅。
图5(b)示出了当辐射斑51正在照射具有在X方向上的周期性特征的光栅52时,由第二检查设备的检测系统418中的图像传感器捕获的衍射光谱518。这个示例中的图像传感器具有允许照射用束456通过的孔520,如上文所述。亮斑560+和560-表示衍射辐射的第一级束460-和460+射在检测器表面上的区域。通过比较(减去)与衍射光谱的相对部分相对应的强度值,可以获得光栅52的不对称性值Ax并将其用于计算(例如)X方向上的重叠。
可以逐个像素地进行强度值的比较(减去),并在光谱上进行平均。在进行比较之前,可替代地,可以对已识别的感兴趣的区域的强度进行平均。应注意,图5(b)的示例光谱具有多个亮区域。这些每个都是单个+1或-1级衍射束460+或460-的结果,但是针对包括在照射用束456内的不同波长的辐射。在HHG辐射源的情况下,通常在气体中激励多个谐波波长。一种选项是针对所有波长将不对称性值组合在一起,或者仅选择亮区域中的某些区域并由此仅选择多个波长中的特定波长的信号。无论感兴趣的区域是否在光谱被检测器记录之后在所述光谱内被识别,还是无论感兴趣的区域是否预先被定义,这都是实施方式的问题。
除了在不同波长处看到与第一级衍射相对应的区域之外,在实践中,图像传感器还可以捕获单个波长的多个衍射级、和/或多个波长的多个衍射级的组合。
为了获得重叠测量,重复上述工序以获得不对称性Ax的测量结果,同时照射另一X方向光栅54。衍射光谱看起来将类似于图5(b)中所示的衍射光谱,但具有特定于该光栅的强度值。这两个不对称性值可以与被编程到光栅中的重叠偏置值的知识相结合,以获得X方向上重叠的值,作为用于形成目标的光刻过程的性能的量度。
为了测量在Y方向上的不对称性和重叠,所述斑S首先移动到光栅53,所述光栅的轮廓被标记为51’。图5(c)示出了从光栅53获得的衍射光谱518’。因为光栅53在y方向上是周期性的,所以衍射光谱在图像传感器上在Y方向上扩展。比较亮区域560’+和560’-的强度值以获得关于Y方向的不对称性Ay的测量结果。通过在所述斑S移动到光栅55的情况下重复这个测量,可以获得不对称性Ay的第二值。将两个不对称性值与施加在光栅53和55中的重叠偏置值的知识相结合,可以获得在Y方向上重叠的值,作为光刻过程的性能的另一量度。
将理解的是,根据图5所示的方法,获得在X方向和Y方向上的测量结果所需的照射和检测步骤是单个方向所需的两倍。现在参考图6,将解释对第二检查设备400的修改,通过所述修改可以同时测量X方向和Y方向上的不对称性。
图6(a)示出了图5(a)所示的同一组光栅52至55。然而,代替用所述斑51/51’依次照射光栅52和53,根据本公开修改的检查设备400布置成用两个斑61a和61b同时照射光栅52和53。图6(b)示出了在检测系统418内的图像传感器上得到的衍射光谱618。现在可以看到,同时捕获了X方向和Y方向两者上的衍射级。因为这两个光栅52与53之间的衍射方向不同,所以通过辐射与不同光栅的相互作用生成的衍射光谱的亮区域在图像传感器上在空间上分离。区域660x+和660x-对应于光栅52的衍射光谱的相对部分,而区域660y+和660y-对应于光栅53的衍射光谱的相对部分。传感器不需要是具有大量像素的图像传感器。假设传感器具有足够的辐射检测元件并且这些辐射检测元件被定位成使得这些辐射检测元件不将X衍射区域与Y衍射区域混合,则可以使用与光栅53在Y方向上的不对称性Ay相同的照射和检测步骤来测量光栅52在X方向上的不对称性Ax。可以对另外两个光栅54和55重复所述过程,以获得另外的不对称性值,因此可以用如图5的方法中的一半数量的照射和检测步骤来获得每个方向X和方向Y的重叠值。应理解,这个方法也可以用于任何两个非平行方向,并且正交的方向X和方向Y仅作为普通示例引用。如果这些方向彼此不平行,则所述方法也可以应用于两个以上的方向。
图7示意性地示出了对辐射源410的修改,所述辐射源410被应用于实现图6的方法中的两个照射斑61a和61b。所述图的主要部分简单地再现了第二检查设备400的辐射源410、照射系统412和检测系统418。第一插入细节702示出了较高次谐波产生空间704和激光束传输系统706的放大细节。第二插入细节708示出了衬底W上的目标区域的放大细节。
HHG辐射源的基本部件和操作原理如上文关于图3所描述的那样。与常规的HHG辐射源类似,辐射源410使用激光束传输系统706将来自泵浦激光器的红外辐射聚焦到充满气体的较高次谐波产生空间704内的激励部位。然而,在改进的辐射源中,代替一个激励部位,泵浦辐射被同时聚焦在标记为710a和710b的两个激励部位上。这些部位中的每个都成为在SXR波段1-100nm中具有一个或(通常)几个波长的较短波长的辐射源。
照射系统412将HHG源部位710a和710b成像到衬底表面上,以成为目标T上的被聚焦的辐射斑。假设选择源部位使得它们两个都聚焦在相对于衬底的同一平面中,则从源部位710a获得的照射用辐射456的部分456a将被聚焦到第一斑Sa中,同时照射用辐射456的第二部分456b将被聚焦到稍微远的距离处的第二斑Sb中。在目标的平面中的这些斑的间隔(由激励部位的间隔和照射系统412的放大率控制)可以与插入细节780所示的两个目标Ta和Tb的间隔相匹配。
通过激光束传输系统的适当调适,如果需要,可以使来自不同源部位的辐射的特性不同。例如,每个源的偏振可以彼此不同。更通常地说,可以优化辐射的任何特性以适应不同的衍射方向。
图8更详细地图示了激光辐射传输系统的一个示例。激光辐射源(未示出)发射具有特定波长的辐射束802。在本示例中,所述辐射源是发射具有红外波长的照射用辐射的飞秒激光器。辐射传播到第一光学元件804,所述第一光学元件804包括光学楔,所述光学楔包括第一光学楔部分806、第二光学楔部分808和光阑810。所述光阑被定位成使得其阻挡辐射束802的中心部分,从而将辐射束分隔成第一辐射812和第二辐射814。
所述第一辐射和第二辐射传播通过较高次谐波产生空间704中的气体818。第一光学楔部分806与透镜816协作以将第一辐射812聚焦成气体内的第一斑820。类似地,第二光学楔部分808与透镜816协作以将第二辐射814聚焦成气体射流内的第二斑822。
第一斑820定义了图7的示例中的第一激励部位710a,第二斑822定义了第二激励部位710b。第一辐射和第二辐射与每个激励部位处的气体互相作用,以提供第一照射用辐射824和第二照射用辐射826。这些照射用辐射通过光学元件828(抑制不需要的辐射波长的滤光器)。在本示例中,所述光学元件是红外线抑制滤光器。
照射用辐射(经由照射系统412,未示出)照射设置在衬底830上的目标结构。如图7所示,所述目标结构包括在所述衬底的平面中在空间上分离的第一目标和第二目标。所述第一照射用辐射照射所述第一目标Ta,所述第二照射用辐射照射所述第二目标Tb。所述第一照射用辐射和所述第二照射用辐射分别被所述第一目标和所述第二目标散射。散射辐射(未示出)由检测系统(也未示出)检测并由处理单元处理以同时获得两个目标的测量结果。
然后,所述处理单元可以用于控制所述第一光学楔806或第二光学楔808中的一个或两个的定位,以便控制所述第一照射用辐射与第二照射用辐射的相对空间分离。
由这些目标散射辐射的辐射可以被传输到检查设备的检测器,所述检测器可以与用于捕获衍射光谱的图像传感器相同,或者是不同的检测器。处理单元840处理检测到的散射辐射,并且基于处理结果,可以将校正数据832发送到校正单元834。校正单元包括连接到照射系统的光学部件中的一个或更多个的一个或更多个致动元件。在本示例中,校正单元包括连接到第二光学楔部分808的致动器836。根据校正数据,校正单元致动所述致动器以根据需要调整辐射传输系统。
应理解,图8中所示的激光辐射传输系统的部件仅是示例性的,并且所述系统可以包括额外的或替代的部件。
虽然上述这些示例涉及在期望的源部位处使用HHG用于生成SXR辐射的辐射源布置,但是使用逆康普顿散射(ICS)源也可以产生x-射线和/或EUV辐射。在引言中提到的待审国际专利申请PCT/EP2016/068479中提供了这种类型的源布置的更多细节。由此,所述申请的内容通过引用被并入。简言之,在ICS辐射源中,高能电子束与腔中的激光束相互作用以在x-射线或更长波长下产生输出辐射。使用逆康普顿散射源,可以用高亮度和快速频率切换来产生X-射线、EUV、UV和VIS辐射。为了在同一辐射产生空间中的两个源部位处产生辐射,激光辐射可以被聚焦在同一电子束中或分离的电子束中的两个部位处。第一辐射和/或第二辐射可以具有在0.1nm至125nm的EUV范围内的波长。使用同一源并控制电子能量,可以用在EUV范围内的不同波长和/或较短(x射线)波长和/或较长(UV、可见)波长对所述结构进行多次辐照。通过逆康普顿散射源中的电子能量的快速切换,可以每秒在不同波长下执行几次辐照。
与常规的源相比,使用现代激光泵浦源(诸如上文所述的HHG和ICS型激光泵浦源),可以在一个或更多个期望的波长下提供高功率。即使在EUV辐射中具有单一波长的情况下,也可以因此获得到叠层中的足够的穿透力。当然,第二辐射的波长可能比EUV辐射的波长更长。穿透力和对比度可以通过移动到更长的波长的方式来增加,而空间分辨率可能作为折衷而损失。使用本文公开的原理和所描述的光源和光学系统,技术人员在设计用于同时测量两个目标的有效检查设备时具有全范围的选项供选择。
与现今的生产设施中使用的光学散射仪相似,检查设备400可以用于测量在光刻单元内处理的抗蚀剂材料内的结构(显影后检查或ADI)和/或用于在更硬的材料中形成结构后测量所述结构(蚀刻后检查或AEI)。例如,可以在已经由显影设备212、蚀刻设备222、退火设备224和/或其他设备226处理衬底之后,使用量测设备400对衬底进行检查。
在根据本发明的混合量测系统200的实施例中,提出在至少一个检查设备中使用SXR/EUV波长进行量测,以测量诸如重叠光栅之类的目标结构的不对称性。在混合量测系统的另一部分中,其他类型的测量(例如光谱的SXR/反射测量法)被用作未来技术节点的CD量测解决方案的一部分。在上文所述的公布的专利申请号US20160282282A1中,证明了SXR反射测量提供高灵敏度的优点,从而对过程变化具有鲁棒性并且对于感兴趣的参数具有选择性。如图2所示,根据以掠入射的反射测量获得的信号也可以与在正入射或接近正入射下进行的不对称性测量组合,以提高基于不对称性的测量的准确度。例如,可以使重叠测量对顶部光栅或底部光栅中和/或顶部光栅与底部光栅之间的层中的过程变化更具有鲁棒性。
图9示出了混合量测系统900的示例,其中第一辐射源的一部分与第二辐射源共用。应理解,在单个混合量测系统内提供多个辐射源在成本和物理空间方面可能具有挑战性。特别是针对那些提供高亮度和/或波长控制的现代光源,提供了泵浦激光器。泵浦激光器可以设计成产生在飞秒时间范围内的脉冲。使用泵浦激光器的辐射源的示例是图3-8中所示的较高次谐波产生(HHG)源。另一示例是超连续谱源,用于在已知的散射仪中提供宽带辐射。
在图9中,我们看到了以两种操作模式(a)和(b)的混合量测系统。在系统的主体内,第一照射系统IL1和第一检测系统DET1形成第一检查设备,所述第一检查设备可以是EUV光谱反射仪,所述第一检查设备可以是如图3所示的第一检查设备300。在这些部件之间,提供第二照射系统IL2和第二检测系统DET2以形成第二检查设备,所述第二检查设备可以例如是第二检查设备400。在图9(a)中,第一检查设备使用HHG源操作。可移动的反射镜902被定位成将来自泵浦激光器LAS的泵浦辐射引导到第一HHG单元(332)中。SXR辐射在HHG单元中产生,如上所述,然后进入第一照射系统IL1中。在图9(b)中,第二检查设备使用HHG源操作,以在第二HHG单元(432)中的两个或更多个源部位处以上文描述的方式产生SXR辐射。可移动反射镜902被移动到第二位置,使得来自泵浦激光器LAS的辐射进入第二HHG单元。这产生了将供给到第二照射系统IL2的第一辐射和第二辐射,用以照射第一目标Ta和第二目标Tb,如上所述。
图10示出了另一混合量测系统1000,其与在图9中与所示出的相同,除了激光辐射被分束器1002分成两个路径而不是由可移动的反射镜902切换。以这种方式,第一检查设备和第二检查设备可以同时操作。如果辐射在与目标相互作用之后在不同方向上散射,则分离的检测系统DEt1和DET2可以同时操作。如果在两个检查设备的辐射之间存在串扰的风险,则可以通过在这两个检查设备中使用不同波长的辐射来避免这种情况。可以通过改变相应的HHG单元332、432中的气体和/或其他因素的选择来改变波长。
可以包括激光辐射传输系统和HHG辐射源的其他部件的另外的修改,这里不再详述。例如,在2016年11月11日的欧洲专利申请号16198346.5中公开了这些修改中的一些,所述申请未在本申请的优先权日公布。在美国专利申请15/388,463和国际专利申请PCT/EP2016/080103中公开了其它修改,两者都要求2015年12月23日的欧洲专利申请号15202301.6的优先权,这两者也未在本申请的优先权日公布。这两者的内容被通过引用并入本发明,并且其中描述的技术可以与本公开的技术结合使用。还如所提到的,可以使用逆康普顿散射(ICS)代替HHG,作为从较低波长的激光辐射产生所期望的SXR辐射的机制。与在HHG中的气态转换介质的情况一样,在两个源部位处生成所期望的辐射的相同原理可以通过适当的激光束传输布置应用在作为辐射转换介质()的电子束的路径中。
虽然上面的这些示例示出了将两个不同的辐射斑施加于第一目标和第二目标,但是通过用单个斑填充两个目标可以获得两个目标中的不对称性的测量结果。在这样的实施例中,第一辐射和第二辐射将是单个辐射束的多个部分。在这样的实施例中,显然产生了具有不同特性的第一辐射和第二辐射的设施将被完全限制或丢失,但是源设计和操作将被简化。衍射信号中可能存在噪声,这是由目标结构的边缘或目标结构之间的界面处的散射引起的。
虽然本公开提出1-100nm之间的SXR辐射作为当前技术发展的特别感兴趣的示例,但较硬的x-射线范围中的较短的波长,小于1nm并且可能小于0.1nm。虽然通过举例的方式描述了辐射的反射进行的检查,但是本公开的原理也可以应用于透射布置,特别是在较短的x-射线辐射可以穿透整个衬底的情况下。
图11示出了在图1所示类型的光刻制造系统的控制下的检查设备(诸如检查设备400)的应用。这些步骤将在此处列出,然后进行更详细的说明:
S21:处理晶片以在衬底上产生结构
S22:横跨衬底测量CD和/或其他参数
S23:更新量测选配方案
S24:更新光刻术和/或过程选配方案
在步骤S21处,使用光刻制造系统横跨衬底产生结构。在S22处,使用量测设备140和可选地其他量测设备和信息源计算横跨衬底的结构的属性。根据上文提出的本公开的原理,每个照射检测步骤针对第一方向和第二方向来测量两个或更多个目标的属性。通过以这种方式测量多个被偏置的目标,在横跨衬底的一个或更多个部位处测量诸如重叠之类的性能参数。
在步骤S23处,根据所获得的测量结果来更新量测设备的量测选配方案和校准。再次参考上面对图5和图6的讨论,量测选配方案可以指定要对衍射光谱的哪个部分进行比较以获得最可靠的不对称性测量。所述选配方案也可以指定激光辐射传输系统的设定,例如用以控制SXR辐射的偏振。
在步骤S24处,将重叠或其他性能参数的测量结果与期望值进行比较,并用于更新光刻制造系统内的光刻设备和/或其他设备的设定。通过提供可以每个照射检测步骤测量两倍目标的检查设备,可以针对给定的测量开销获得更多的测量结果。当这些测量的结果应用于另外的测量和光刻设备的进一步控制时,这进而可以导致更好的性能。
虽然本文中描述的量测目标的实施例已经主要在重叠测量方面进行了描述,但是类似的技术可以应用于测量一个或更多个额外或替代的图案化过程参数。例如,适当设计的量测目标可以被用于测量曝光剂量变化、测量曝光聚焦/离焦、测量CD等,所有这些都基于成对的被偏置的光栅之间的不对称性差异。
虽然上文所描述的目标结构是出于测量的目的而特定地设计和形成的量测目标,但在其他实施例中,可在作为形成于衬底上的器件的功能部分的目标上测量属性。许多器件具有类似于光栅的规则周期性结构。如本文中所使用的术语:目标的“目标”、“光栅”或“周期性结构”,不需要为正在执行的测量专门设置适用的结构。另外,虽然量测目标的节距P接近于测量工具的光学系统的分辨率极限,但是可以比目标部分C中通过图案化过程制作的典型产品特征的尺寸大得多。在实践中,可以使这些光栅的特征和/或空间包括在尺寸方面类似于产品特征的较小结构。
与如在衬底和图案形成装置上实现的目标的物理结构相关联地,实施例可以包括包含机器可读指令的一个或更多个序列和/或功能数据的计算机程序,其描述目标设计、描述设计用于衬底的目标的方法、描述在衬底上产生目标的方法、描述测量衬底上的目标的方法和/或描述分析测量以获得关于图案化过程的信息的方法。所述计算机程序可以例如在图3至图9的设备中的量测处理单元MPU内和/或在图2的控制单元LACU内执行。还可以提供其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。在例如属于图3所示的类型的现有的检查设备已经在生产中和/或在使用中的情况下,可以通过提供更新的计算机程序产品以使处理器执行本文所述的方法中的一个或更多个(例如,用于识别在检测系统418中捕获的衍射光谱的不同部分的方法、用于计算如本文所述的重叠误差的方法)来实施实施例。可选地,所述程序可以被布置成控制光学系统、衬底支撑件等,以执行测量适当的多个目标上的图案化过程的参数的方法(例如,用于测量多个合适的目标上的不对称性的方法、和/或用于确定重叠误差的方法)。所述程序可以更新图案化过程和/或量测选配方案的参数,以用于另外的衬底的测量。所述程序可以被布置成(直接或间接地)控制光刻设备,以用于图案化和处理另外的衬底。
在随后被编号的方面中公开了另外的实施例:
1.一种检查设备,包括照射系统和检测系统,
其中所述照射系统包括源布置,所述源布置用于在辐射产生空间中的第一源部位处产生第一辐射并用于同时在同一辐射产生空间中的第二源部位处产生第二辐射,所述第一辐射和所述第二辐射包括小于100nm的波长,
其中所述照射系统的光学系统布置成聚焦来自所述第一源部位和所述第二源部位两者的辐射,以便用所述第一辐射的斑照射第一目标部位,同时用所述第二辐射的斑照射第二目标部位,和
其中所述检测系统布置成在一个或更多个第一检测部位处检测所述第一辐射的已经由所述第一目标部位处的第一目标结构在第一方向上衍射的部分,同时在一个或更多个第二检测部位处检测所述第二辐射的已经由定位在所述第二目标部位处的第二目标结构的特征在第二方向上衍射的部分。
2.如方面1所述的检查设备,还包括处理布置,所述处理布置用于基于在所述第一检测部位处检测到的第一辐射的所述部分来计算所述第一目标的与第一不对称性相关的属性并用于基于在所述第二检测部位处检测到的第二辐射的所述部分来计算所述第二目标的与第二不对称性相关的属性。
3.如方面2所述的检查设备,其中所述处理布置还布置成基于一个或更多个第一目标的与第一不对称性相关的属性来计算光刻过程的第一性能参数并基于一个或更多个第二目标的与第二不对称性相关的属性来计算所述光刻过程的第二性能参数。
4.如方面3所述的检查设备,其中所述第一性能参数表示在所述第一方向上的重叠性能,所述第二性能参数表示在所述第二方向上的重叠性能。
5.如任一前述方面所述的检查设备,其中所述检测系统包括多个第一辐射敏感检测器元件,所述多个第一辐射敏感检测器元件用于检测所述第一检测部位中的不同部位处的辐射,并且所述检测系统具有多个第二辐射敏感检测器元件,所述多个第二辐射敏感检测器元件用于检测所述第二检测部位中的不同部位处的辐射。
6.如方面5所述的检查设备,其中至少所述多个第一检测器元件中的一些和所述多个第二检测器元件中的一些被设置在公共的多元件检测器上的不同部位处。
7.如任一前述方面所述的检查设备,其中所述照射系统能够操作,以用辐射以与包含所述第一目标和第二目标的目标平面垂直的角度来照射所述第一目标和第二目标,所述第一检测部位和所述第二检测部位关于与所述目标平面垂直的轴线对称地排列。
8.如方面1至6中任一方面所述的检查设备,其中所述照射系统能够操作,以用辐射以相对于包含所述第一目标和第二目标的目标平面的非垂直入射角来照射所述第一目标和第二目标,所述第一检测部位和所述第二检测部位关于由所述入射角和所述目标平面限定的反射轴线不对称地排列。
9.如任一前述方面所述的检查设备,其中所述源布置能够操作,以将第一激光辐射聚焦在所述第一源部位处,并且将第二激光辐射聚焦在所述第二源部位处,从而使得产生分别在与所述第一激光辐射和第二激光辐射的波长不同的波长下的所述第一辐射和第二辐射。
10.如方面9所述的检查设备,其中所述源布置还能够操作,以将气态介质传输到所述辐射产生空间,使得所述第一辐射和第二辐射由产生它们的相应的第一激光辐射和第二激光辐射的较高次谐波来产生。
11.如方面9所述的检查设备,其中所述源布置还能够操作,以将电子束传输到所述辐射产生空间,使得所述第一辐射和第二第二辐射通过逆康普顿散射从它们的相应的第一激光辐射和第二激光辐射来产生。
12.一种检查已经通过光刻过程在衬底上形成的结构的方法,所述方法包括:
用第一辐射照射第一目标,同时用第二辐射照射第二目标,所述第一辐射和所述第二辐射包括小于100nm的波长;
在一个或更多个第一检测部位处检测所述第一辐射的已经由所述第一目标的特征在第一方向上衍射的部分;和
在一个或更多个第二检测部位处检测所述第二辐射的已经由所述第二目标的特征在第二方向上衍射的部分。
13.如方面12所述的方法,还包括基于在所述第一检测部位处检测到的第一辐射的所述部分来计算第一目标的与第一不对称性相关的属性,以及基于在所述第二检测部位处检测到的第二目标的所述部分来计算第二目标的与第二不对称性相关的属性。
14.如方面13所述的方法,还包括基于一个或更多个第一目标的与第一不对称性相关的属性来计算所述光刻过程的第一性能参数,以及基于一个或更多个第二目标的与第二不对称性相关的属性来计算所述光刻过程的第二性能参数。
15.如方面14所述的方法,其中所述第一性能参数表示在所述第一方向上的重叠性能,所述第二性能参数表示在所述第二方向上的重叠性能。
16.如方面12至15中任一方面所述的方法,其中使用多个第一辐射敏感检测器元件检测所述第一检测部位中的不同部位处的衍射辐射,并且使用多个第二辐射敏感检测器元件检测所述第二检测部位中的不同部位处的衍射辐射。
17.如方面16所述的方法,其中至少所述多个第一检测器元件中的一些和所述多个第二检测器元件中的一些被设置在公共的多元件检测器上的不同部位处。
18.如方面12至17中任一方面所述的方法,其中分别用所述第一辐射和所述第二辐射以与包含所述第一目标和第二目标的目标平面垂直的角度来照射所述第一目标和第二目标,所述第一检测部位和所述第二检测部位关于与所述目标平面垂直的轴线对称地排列。
19.如方面12至17中任一方面所述的方法,其中分别用所述第一辐射和所述第二辐射以相对于包含所述第一目标和第二目标的目标平面的非垂直入射角来照射所述第一目标和第二目标,所述第一检测部位和所述第二检测部位关于由所述入射角和所述目标平面限定的反射轴线不对称地排列。
20.如方面12至19中任一方面所述的方法,其中用未填充满所述第一目标的第一辐射的斑来照射所述第一目标,同时用未填充满所述第二目标的第二辐射的斑来照射所述第二目标。
21.如方面20所述的方法,其中在辐射产生空间中的第一源部位处产生所述第一辐射,同时在同一辐射产生空间中的第二源部位处产生所述第二辐射,
其中,使用公共的光学系统聚焦来自所述第一源部位和所述第二源部位两者的辐射,以便产生所述第一辐射的所述斑和所述第二辐射的所述斑。
22.如方面20或21所述的方法,其中通过将第一激光辐射聚焦在所述第一源部位处而在所述第一源部位处产生所述第一辐射,同时通过将第二激光辐射聚焦在所述第二源部位处而在所述第二源部位处产生所述第二辐射,从而使得产生分别在与所述第一激光辐射和第二激光辐射的波长不同的波长下的所述第一辐射和第二辐射。
23.如方面22所述的方法,其中通过将气态介质传输到辐射产生空间以使得产生相应的第一激光辐射和第二激光辐射的较高次谐波来产生所述第一辐射和第二辐射。
24.如方面23所述的方法,其中通过将电子束传输到所述辐射产生空间来由逆康普顿散射从它们的相应的第一激光辐射和第二激光辐射来产生所述第一辐射和第二辐射。
25.一种制造器件的方法,所述方法包括光刻过程步骤,其中,在执行所述光刻过程步骤之前或之后,通过如方面12至25中任一方面所述的方法获得在衬底上的第一目标和第二目标的测量结果,其中将所获得的测量结果用于调整所述光刻过程步骤的参数,从而用于处理所述衬底和/或另外的衬底。
26.一种计算机程序产品,包括机器可读指令,用于使处理器实施根据方面2至4中任一方面所述的检查设备的处理布置。
尽管上文已经在光学光刻术的背景下具体参考了实施例的使用,但是应当理解,本发明的实施例可以用于其他应用,例如压印光刻术,并且在上下文允许的情况下,不限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上产生的图案。图案形成装置的形貌可以被压制到提供给衬底上的抗蚀剂层中,于是抗蚀剂通过应用电磁辐射、热、压力或者它们的组合被固化。在抗蚀剂被固化之后所述图案形成装置被移出抗蚀剂,在其中留下图案。
以上对具体实施例的描述揭示本发明的实施例的一般性质,使得在不背离本发明的整体构思且没有过度的试验的情况下其他人可以通过应用本领域内的知识针对各种应用轻易地修改和/或调适这些具体的实施例。因此,基于本文给出的教导和指导,这样的调适和修改旨在落入所公开的实施例的等同物的含义和范围内。应该理解,这里的措辞或术语是出于举例描述的目的而不是限制性的,因此本说明书中的术语或措辞应该由本领域的技术人员根据所述教导和指导进行解释。
本发明的广度和范围不应受到上述任何的示例性实施例的限制,而应仅由随附的权利要求书及其等同物来限定。

Claims (15)

1.一种检查设备,包括照射系统和检测系统,
其中所述照射系统包括源布置,所述源布置用于在辐射产生空间中的第一源部位处产生第一辐射并用于同时在同一辐射产生空间中的第二源部位处产生第二辐射,所述第一辐射和所述第二辐射包括小于100nm的波长,
其中所述照射系统的光学系统布置成聚焦来自所述第一源部位和所述第二源部位两者的辐射,以便用所述第一辐射的斑照射第一目标部位,同时用所述第二辐射的斑照射第二目标部位,和
其中所述检测系统布置成在一个或更多个第一检测部位处检测所述第一辐射的已经由在所述第一目标部位处的第一目标结构在第一方向上衍射的部分,同时用于在一个或更多个第二检测部位处检测所述第二辐射的已经由定位在所述第二目标部位处的第二目标结构的特征在第二方向上衍射的部分。
2.如权利要求1所述的检查设备,还包括处理布置,所述处理布置用于基于在所述第一检测部位处检测到的第一辐射的所述部分来计算所述第一目标的与第一不对称性相关的属性并用于基于在所述第二检测部位处检测到的第二辐射的所述部分来计算所述第二目标的与第二不对称性相关的属性。
3.如权利要求2所述的检查设备,其中所述处理布置还布置成基于一个或更多个第一目标的所述与第一不对称性相关的属性来计算光刻过程的第一性能参数并基于一个或更多个第二目标的所述与第二不对称性相关的属性来计算所述光刻过程的第二性能参数。
4.如权利要求4所述的检查设备,其中所述第一性能参数表示在所述第一方向上的重叠性能,所述第二性能参数表示在所述第二方向上的重叠性能。
5.如任一前述权利要求所述的检查设备,其中所述检测系统包括多个第一辐射敏感检测器元件,所述多个第一辐射敏感检测器元件用于检测所述第一检测部位中的不同部位处的辐射,并且所述检测系统具有多个第二辐射敏感检测器元件,所述多个第二辐射敏感检测器元件用于检测所述第二检测部位中的不同部位处的辐射,
并且其中可选地,至少所述多个第一检测器元件中的一些和所述多个第二检测器元件中的一些被设置在公共的多元件检测器上的不同部位处。
6.如任一前述权利要求所述的检查设备,其中所述照射系统能够操作,以用辐射以与包含所述第一目标和第二目标的目标平面垂直的角度来照射所述第一目标和第二目标,所述第一检测部位和所述第二检测部位关于与所述目标平面垂直的轴线对称地排列。
7.如权利要求1至5中任一项所述的检查设备,其中所述照射系统能够操作,以用辐射以相对于包含所述第一目标和第二目标的目标平面的非垂直的入射角来照射所述第一目标和第二目标,所述第一检测部位和所述第二检测部位关于由所述入射角和所述目标平面限定的反射轴线不对称地排列。
8.如任一前述权利要求所述的检查设备,其中所述源布置能够操作,以将第一激光辐射聚焦在所述第一源部位处,并且将第二激光辐射聚焦在所述第二源部位处,从而使得产生分别在与所述第一激光辐射和第二激光辐射的波长不同的波长下的所述第一辐射和第二辐射。
9.如权利要求8所述的检查设备,其中所述源布置还能够操作,以将气态介质传输到所述辐射产生空间,使得所述第一辐射和第二辐射由产生它们的相应的第一激光辐射和第二激光辐射的较高次谐波来产生。
10.如权利要求8所述的检查设备,其中所述源布置还能够操作,以将电子束传输到所述辐射产生空间,使得所述第一辐射和第二辐射通过逆康普顿散射从它们的相应的第一激光辐射和第二激光辐射来产生。
11.一种检查已经通过光刻过程在衬底上形成的结构的方法,所述方法包括:
用第一辐射照射第一目标,同时用第二辐射照射第二目标,所述第一辐射和所述第二辐射包括小于100nm的波长;
在一个或更多个第一检测部位处检测所述第一辐射的已经由所述第一目标的特征在第一方向上衍射的部分;和
在一个或更多个第二检测部位处检测所述第二辐射的已经由所述第二目标的特征在第二方向上衍射的部分。
12.如权利要求11所述的方法,其中用未填充满所述第一目标的第一辐射的斑来照射所述第一目标,同时用未填充满所述第二目标的第二辐射的斑来照射所述第二目标。
13.如权利要求12所述的方法,其中在辐射产生空间中的第一源部位处产生所述第一辐射,同时在同一辐射产生空间中的第二源部位处产生所述第二辐射,
其中,使用公共的光学系统聚焦来自所述第一源部位和所述第二源部位两者的辐射,以便产生所述第一辐射的所述斑和所述第二辐射的所述斑。
14.一种制造器件的方法,所述方法包括光刻过程步骤,其中,在执行所述光刻过程步骤之前或之后,通过如权利要求11至13中任一项所述的方法获得在衬底上的第一目标和第二目标的测量结果,其中将所获得的测量结果用于调整所述光刻过程步骤的参数,从而用于处理所述衬底和/或另外的衬底。
15.一种计算机程序产品,包括机器可读指令,用于使处理器实施根据权利要求2至4中任一项所述的检查设备的处理布置。
CN201880011277.0A 2017-02-09 2018-01-08 用于预测测量方法的性能的方法和设备、测量方法和设备 Active CN110291464B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17155453.8A EP3361315A1 (en) 2017-02-09 2017-02-09 Inspection apparatus and method of inspecting structures
EP17155453.8 2017-02-09
PCT/EP2018/050382 WO2018145837A1 (en) 2017-02-09 2018-01-08 Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus

Publications (2)

Publication Number Publication Date
CN110291464A true CN110291464A (zh) 2019-09-27
CN110291464B CN110291464B (zh) 2021-10-26

Family

ID=58016590

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880011277.0A Active CN110291464B (zh) 2017-02-09 2018-01-08 用于预测测量方法的性能的方法和设备、测量方法和设备

Country Status (6)

Country Link
US (1) US10379448B2 (zh)
EP (1) EP3361315A1 (zh)
KR (1) KR102318583B1 (zh)
CN (1) CN110291464B (zh)
TW (1) TWI662383B (zh)
WO (1) WO2018145837A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3614813A1 (en) * 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
US20220100109A1 (en) * 2018-12-20 2022-03-31 Asml Holding N.V. Apparatus for and method of simultaneously acquiring parallel alignment marks
WO2020126248A1 (en) * 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
WO2020148069A1 (en) * 2019-01-15 2020-07-23 Stichting Vu Euv radiation source and related methods
US11698251B2 (en) * 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
EP4006640A1 (en) * 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
US11800212B1 (en) 2022-04-08 2023-10-24 Kla Corporation Multi-directional overlay metrology using multiple illumination parameters and isolated imaging
WO2024022839A1 (en) * 2022-07-25 2024-02-01 Asml Netherlands B.V. Metrology system using multiple radiation spots
EP4321933A1 (en) * 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
DE102022213078A1 (de) 2022-12-05 2024-05-02 Carl Zeiss Smt Gmbh Messvorrichtung zur Vermessung von Reflexionseigenschaften

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100245807A1 (en) * 2009-03-30 2010-09-30 Tokyo Electron Limited Optimizing sensitivity of optical metrology measurements
CN104583872A (zh) * 2012-07-10 2015-04-29 科磊股份有限公司 用于组合式x-射线及光学计量的模型建立及分析引擎
US20150204664A1 (en) * 2012-10-18 2015-07-23 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
CN105359039A (zh) * 2013-07-03 2016-02-24 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
WO2016150957A1 (en) * 2015-03-25 2016-09-29 Asml Netherlands B.V. Metrology methods, metrology apparatus and device manufacturing method
CN108431692A (zh) * 2015-12-23 2018-08-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN108700819A (zh) * 2015-12-23 2018-10-23 Asml荷兰有限公司 光刻设备和用于执行测量的方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US7072442B1 (en) * 2002-11-20 2006-07-04 Kla-Tencor Technologies Corporation X-ray metrology using a transmissive x-ray optical element
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2003890A (en) 2008-12-16 2010-06-17 Asml Netherlands Bv Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
CN102576188B (zh) * 2009-10-12 2014-10-01 Asml荷兰有限公司 用于确定衬底上的对象的近似结构的方法、检验设备以及衬底
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9924585B2 (en) 2013-12-13 2018-03-20 Asml Netherlands B.V. Radiation source, metrology apparatus, lithographic system and device manufacturing method
WO2015172963A1 (en) 2014-05-13 2015-11-19 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
KR102098035B1 (ko) 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법
WO2017025373A1 (en) 2015-08-12 2017-02-16 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100245807A1 (en) * 2009-03-30 2010-09-30 Tokyo Electron Limited Optimizing sensitivity of optical metrology measurements
CN104583872A (zh) * 2012-07-10 2015-04-29 科磊股份有限公司 用于组合式x-射线及光学计量的模型建立及分析引擎
US20150204664A1 (en) * 2012-10-18 2015-07-23 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
CN105359039A (zh) * 2013-07-03 2016-02-24 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
WO2016150957A1 (en) * 2015-03-25 2016-09-29 Asml Netherlands B.V. Metrology methods, metrology apparatus and device manufacturing method
CN108431692A (zh) * 2015-12-23 2018-08-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN108700819A (zh) * 2015-12-23 2018-10-23 Asml荷兰有限公司 光刻设备和用于执行测量的方法

Also Published As

Publication number Publication date
KR20190113932A (ko) 2019-10-08
TW201832024A (zh) 2018-09-01
EP3361315A1 (en) 2018-08-15
WO2018145837A1 (en) 2018-08-16
CN110291464B (zh) 2021-10-26
KR102318583B1 (ko) 2021-11-01
TWI662383B (zh) 2019-06-11
US20180224753A1 (en) 2018-08-09
US10379448B2 (en) 2019-08-13

Similar Documents

Publication Publication Date Title
CN110291464A (zh) 用于预测测量方法的性能的方法和设备、测量方法和设备
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
US10816906B2 (en) HHG source, inspection apparatus and method for performing a measurement
TWI626422B (zh) 計量方法、輻射源、計量裝置及器件製造方法
CN105452963B (zh) 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
JP5571316B2 (ja) 複数の位置調整装置を備えるリソグラフィ装置、及び位置調整測定方法
CN111542783A (zh) 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
US10634490B2 (en) Determining edge roughness parameters
JP7427772B2 (ja) 波長分解軟x線反射率測定に基づく半導体計測のための方法及びシステム
CN109716110A (zh) 用于检查设备的照射源、检查设备和检查方法
CN110383955A (zh) 辐射源
TW201820053A (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
CN110312968B (zh) 对准衍射光学系统的方法和衍射光学元件
CN108474651A (zh) 形貌测量系统
TW202340709A (zh) 用於半導體結構之資料驅動參數化及量測之方法及系統
CN106030411A (zh) 确定边缘定位误差的方法、检测设备、图案形成装置、衬底及器件制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant