CN107667426A - 反熔丝编程电压的受控修改 - Google Patents

反熔丝编程电压的受控修改 Download PDF

Info

Publication number
CN107667426A
CN107667426A CN201580080336.6A CN201580080336A CN107667426A CN 107667426 A CN107667426 A CN 107667426A CN 201580080336 A CN201580080336 A CN 201580080336A CN 107667426 A CN107667426 A CN 107667426A
Authority
CN
China
Prior art keywords
gate
grid
dielectric
injection
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580080336.6A
Other languages
English (en)
Other versions
CN107667426B (zh
Inventor
X·童
W·M·哈菲兹
Z·马
P·白
C-H·简
陈占平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN107667426A publication Critical patent/CN107667426A/zh
Application granted granted Critical
Publication of CN107667426B publication Critical patent/CN107667426B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

描述了反熔丝编程电压的受控修改。在一个示例中,反熔丝电路形成在衬底上,包括反熔丝电路的栅极区。将分子注入到栅极区中以损坏栅极区的结构。电极形成在栅极区之上以将反熔丝电路连接到其它部件。

Description

反熔丝编程电压的受控修改
技术领域
本说明书涉及半导体电子器件中的反熔丝电路,并且具体而言涉及修改这样的电路的编程电压。
背景技术
金属熔丝和反熔丝元件用于各种不同的电子器件。一个常见的用途是在非易失性存储器阵列中。它们也用于处理器中以设置参数和寄存器值或设置代码、序列号、加密密钥以及以后不改变的其它值。除了其它技术以外,熔丝和反熔丝用于双极型、FinFET和CMOS(互补金属氧化物半导体)器件技术。
作为示例,诸如PROM(可编程只读存储器)和OTPROM(一次性可编程只读存储器)之类的可编程存储器器件一般通过在存储器电路内破坏链接(经由熔丝)或创建链接(经由反熔丝)来进行编程。在PROM中,例如每个存储器位置或位单元包含熔丝和/或反熔丝,并且通过触发这两者之一来进行编程。编程通常在存储器器件的制造之后完成,并且记住特定的最终用途或应用。一旦执行常规的位单元编程,它通常就是不可逆的。
常用电阻熔丝元件来实施熔丝链接,电阻熔丝元件可以是开路的或通过在适当的线路上应用不寻常地高的电流而“被烧断”。另一方面,一般利用两个导电层或端子之间的非导电材料(例如二氧化硅)的薄阻挡层来实施反熔丝链接。当足够高的电压施加在端子两端时,二氧化硅被损坏,消除了阻挡层,从而使两个端子之间有低电阻导电路径。
附图说明
通过示例的方式而非通过限制性的方式在附图的图中示出了实施例,其中类似的附图标记指代相似的元件。
图1是根据实施例的反熔丝位单元存储器阵列的一部分的电路图。
图2-12是根据实施例的具有修改的编程电压的反熔丝器件的制造阶段的第一序列的侧截面视图。
图13-19是根据实施例的具有修改的编程电压的反熔丝器件的制造阶段的第二序列的侧截面视图。
图20是根据实施例的并入所测试的半导体管芯的计算设备的方框图。
具体实施方式
反熔丝技术的一个用途是用于一次性可编程(OTP)存储器阵列。这些一般使用多晶硅熔丝、金属熔丝和氧化物反熔丝来构建。部分地由于使元件熔断所需的大电流,多晶硅和金属熔丝阵列在传统上具有比氧化物反熔丝阵列更大的占用空间。氧化物反熔丝依赖于导电电极之间的氧化物层来形成熔断元件。氧化物层可以是MOS器件中的栅极氧化物。电极可以是栅极和硅衬底。对于MOS反熔丝元件,扩散层用于源极区和漏极区,并且栅极形成在扩散层的顶部上并通过氧化物层与扩散层绝缘。编程电压击穿氧化物绝缘层。
驱动器电路用于对反熔丝电路编程。编程电压越高,驱动器电路就可能越大和越昂贵。如果存在很多反熔丝电路,则编程的简易程度是反熔丝电路设计的重要因素。较低的反熔丝编程电压具有较简单的电路设计、较低的制造成本、在使用中的减少的附带损坏,以及还可以允许现场编程。相对于在电路的其余部分中使用的器件降低反熔丝元件的氧化物击穿电压的能力也有助于简化设计、降低成本并增加总电路的可靠性。
反熔丝电路的编程电压取决于栅极氧化物击穿电压。不同的电路技术可能需要不同的电压。对于相同的技术节点产生,金属栅极和高K金属氧化物反熔丝电路一般比使用二氧化硅作为栅极电介质的多晶硅栅极需要更高的电压。
如本文中所述的,注入过程可以用于降低高K金属栅极氧化物、常规栅极氧化物或任何其它栅极电介质材料的栅极电介质击穿电压。可以对被掩蔽的器件的其它区域应用注入,使得注入仅影响熔丝元件的高K金属栅极氧化物。这提供具有较小的成本和复杂度的较低电压反熔丝编程电路。
通过穿过并进入高K金属栅极氧化物注入重分子,降低了反熔丝电路上的击穿电压。掩蔽层可以用于保护电路的其它元件。以这种方式,反熔丝元件具有较低的击穿电压,并且被保护的周围正常高K金属栅极不受影响。这个注入与改变高K栅极氧化物或反熔丝电路的基本结构相比控制起来更简单且容易。
图1是反熔丝电路阵列102的一部分的简化图。阵列包括很多器件,其中大部分使用常规设计来制造。与反熔丝功能有关的一些器件被制造有具有厚栅极氧化物120的栅极(厚栅极)以处理高电压。这些器件在附图中被不同地显示,如由特殊厚栅极器件图解图例120所指示的。在所示示例中,阵列具有32个反熔丝单元104-31到104-0,虽然只示出了两个单元。可以有比所示的更多或更少的单元。阵列可以是特别针对反熔丝单元的管芯的部分,或阵列可以集成到另一系统中。每个单元104具有反熔丝开关106-31…106-0和高电压熔丝信号驱动器108-31…108-0。当接收到适当的熔丝信号时,驱动器108通过反熔丝开关106的栅极来驱动高电压以对反熔丝单元编程。通过对一些反熔丝单元而不是另一些进行编程,一序列零和一可以在整个阵列中被编程以存储识别号码、加密密钥、操作参数和其它值。
阵列的单元被访问,以用于使用阵列的每列的列线路选择器110-31…110-0和阵列的每行的行线路选择器114-31…114-0进行编程。每个列选择器110耦合到高电压线路驱动器112-31…112-0以将选定线路上的高电压发送到适当的单元104。组合列选择110和行选择114,可以选择阵列的单个单元104以用于编程。如所示的,列选择器耦合到每个单元的熔丝电压驱动器的源极,并且行选择器耦合到每个单元的熔丝驱动器的栅极。当高电压施加到源极且栅极被断开时,则通过反熔丝开关106的栅极氧化物来驱动高电压以对电路编程。
当驱动器电路在高电压下操作时,系统的其余部分在Vcc或Vss电压118-31…118-0上操作。这个电压施加到反熔丝单元106的栅极和源极以读取被编程到单元中的值。高电压电路用于所有反熔丝编程,并且这使用在每个单元处和也在每列的列选择上的器件。编程电压越高,对电路能够处理编程所需的高电压的要求就越高。较高的电压需要较高的成本和电路设计的较高的复杂度。降低反熔丝编程电压减小这些成本。
图2-12是在用于生产具有降低的编程电压的反熔丝电路的制造序列中的一序列处理阶段的截面侧视图。图2是在用于生产具有降低的编程电压的反熔丝电路的第一制造序列中的处理阶段的第一截面侧视图。最初,使用衬底202。衬底可以是硅晶圆,其上形成很多管芯或衬底可以具有不同的尺寸并由不同的材料形成。在所示示例中,两个晶体管在衬底中作为示例形成以显示制造阶段。一般,晶体管的阵列将连同读、写和编程电路一起形成在同一衬底中。还可以在衬底中形成额外的逻辑和存储器电路。
图3示出了在n阱204形成在一侧上之后的图2的衬底202。n型MOS晶体管或NMOS晶体管将形成在该侧、右侧上,而PMOS晶体管将形成在左侧上。衬底的材料形成左侧晶体管的p阱。注意,此处所述的过程不同于正常MOS器件信息。通常,PMOS晶体管将形成在n阱中,并且NMOS晶体管将形成在常规CMOS电路的p阱中,并且这个过程也可以用于反熔丝元件形成。
图4示出了浅沟槽隔离(STI)区域206在n阱的任一侧上的添加。第三STI区域206形成在n阱的左侧上以限定p阱的边界。可以使用光刻法例如通过掩蔽一些区域、在被暴露区域中去除、沉积或注入材料并接着去除光致抗蚀剂掩模来添加这些区域。
图5示出了具有沉积在衬底之上的常规栅极氧化物(例如SiO2和变型)208和然后沉积在栅极氧化物之上的一层多晶硅210的衬底202。使用例如干法蚀刻来图案化多晶硅层,使得层只在高k金属栅极氧化物和金属栅极稍后将处于的地方保留。氮化物间隔体212然后围绕具有在S/D(源极/漏极)注入将处于的位置旁边的常规氧化物的每个多晶硅栅极形成。
图6示出了在PMOS栅极氧化物和间隔体的两侧上通过注入而形成源极区和漏极区216之后的衬底202。在这个过程期间,NMOS区域全部用注入掩模来覆盖。在图6中所示的阶段,新注入掩模214被形成并图案化以覆盖PMOS区域。
注入220接着应用于被暴露的NMOS区域以形成NMOS器件的源极区和漏极区224。如同PMOS区域一样,这些通过掩蔽其它区域并接着注入适当的掺杂剂来形成。结构然后被退火以形成位于PMOS的S/D区域之上的导电S/D接触区域216和位于NMOS的S/D之上的接触区域224。硅化区域218和222可选地被形成以完成在216和224的S/D区域的顶部上的源极和漏极注入。
图7示出了沉积在整个结构之上的ILD(层间电介质)层230。该层然后被抛光以暴露多晶硅210的顶部。在图8中,从栅极区去除多晶硅210和氧化物208。这些在S/D区域的形成中被使用,并且针对图6的注入过程进行优化但以后不被使用。在所示示例中,多晶硅栅极和常规氧化物层用于在掺杂过程期间保护栅极之下的沟道区,以及为下面的过程步骤限定金属栅极位置。ILD 230保持在结构的其余部分之上。ILD可以是SiO2或其具有不同的掺杂剂或氮化物的变型。
在图9中,高k金属氧化物层232均厚沉积在整个结构之上。这为图10的大分子注入234准备结构。用保护层(例如光致抗蚀剂)覆盖反熔丝器件之外的区域,使得只有反熔丝元件被暴露用于注入234。在图10中,器件然后用重离子或分子进行注入234。在一个示例中,SiF4用作注入分子。然而,可以替代地使用各种其它材料,例如氩和氮。沟道材料可以由Si、Ge、Ⅱ-Ⅵ或任何其它半导体材料形成。这个注入通过损坏下层结构来修改反熔丝电路的编程电压。编程电压低于在注入之前的电压,并允许编程驱动器在较低成本下构建并以较少的功率进行操作。
注入过程提供足够的能量以穿透栅极金属氧化物232(如果存在的话),并损坏金属栅极。在这种情况下,最终反熔丝电路的栅极区由沉积在间隔体之间的高k金属氧化物232限定。注入颗粒的动量(质量乘以速度)确定所做出的损坏的量。颗粒被驱动,使得它们不能够明显穿透由顶部保护ILD层230保护的区域。作为结果,只有栅极被损坏。栅极损坏到足以仍然操作,但具有较低的击穿电压。
在所示示例中,金属栅极氧化物232直接暴露于注入234。去除较早施加的多晶硅210。然而,这不是所需的。多晶硅或另一材料可以用于提供对注入过程的效应的额外控制。温度、能量、分子选择和其它因素可以用于控制注入的效应。这些控制因素也可以与栅极(未示出)中的额外层和这样的栅极覆盖物的厚度和类型组合以更精确地控制注入的效应。所述注入过程对高K金属栅极是有效的以减小编程电压。然而,其也可以应用于如上面提到的其它类型的栅极。
可以用不同的方式执行重离子注入。等离子体沉浸离子注入系统可以在4-6keV下与SiF4一起使用来将离子驱动到静电带电的晶圆中。这之后可以是在900℃或更大的温度下几分钟的短高温退火。
在图11中,形成了栅极。在注入过程234之后,对两种类型的晶体管施加新金属栅极材料242、246。具有不同逸出功的不同金属可以用于n型和p型晶体管。这些可以通过首先掩模除一种类型的栅极以外的所有栅极、沉积期望的材料、然后掩蔽除另一类型的栅极以外的所有栅极并沉积另外期望的材料来执行。以这种方式,可以沉积不同的材料。另外,新金属栅极接触部244、246被施加在栅极电介质之上。栅极金属层和高k金属氧化物层然后被抛光以去除多余的金属并只将它们留在金属栅极内部。
在图12中,层间电介质层250形成在整个结构之上并随后被抛光。电极252可以形成在S/D接触部之上,并且电极254可以形成在栅极接触部之上。这些可以例如通过使用通过ILD的干法蚀刻、接着进行对经蚀刻的区域的金属沉积以及然后进行抛光以去除多余的金属来形成。电极稍后可以用于供应击穿电压以对反熔丝电路编程。图12示出了适合于在图1的阵列中使用的完成的n型和p型反熔丝晶体管。可以有很多这样的反熔丝元件来形成多个阵列。相同的原理可以应用于制造除了晶体管以外的其它反熔丝器件。器件可以利用用于隔离的额外层、新电路器件、器件之间的连接等来完成。也可以根据形成在管芯上的其它部件和器件的预期用途来施加各种类型的层间电介质层和覆盖物。击穿栅极所必需的编程电压(击穿电压)由特定的反熔丝元件的栅极氧化物击穿电压确定。对于具有金属氧化物电介质的高K金属栅极,击穿电压一般比SiO2氧化物栅极更高。同时,泄漏电流对于高K金属栅极更低。当将足够高的电压施加到栅极时,高电场击穿晶体管沟道之上的栅极氧化物层的至少一部分,并且使导电路径穿过在栅极电极与下层沟道之间的氧化物而形成。
除了击穿栅极材料以外,一些栅极材料还可以转移到沟道中。这部分地由通过击穿栅极金属的放电产生的热引起。材料转移和热可以一起熔断金属栅极和硅衬底,使所编程的熔丝位的栅极短接到衬底或器件的沟道。
栅极氧化物通过注入过程所引起的缺陷变弱。将外来材料注入到栅极氧化物中引起了氧化物中的缺陷。变弱的氧化物具有较低的击穿电压,但其在击穿之前仍然具有低泄漏电流。如本文所述的SiF4注入可以用于将击穿电压减小多达三分之一。作为示例,击穿电压可以是3V而不使用注入操作,并且在注入操作之后是2V。薄金属栅极氧化物NMOS和PMOS结构显示类似的结果。
图2-12的过程仅被示为示例。注入可以应用于由各种不同的材料制成的各种不同的结构。注入可以在除了所示出的以外的过程中在不同的时间完成。注入过程可以应用于任何金属或多晶硅栅极制造过程和其它类型的反熔丝电路。通过调节氧化物层并调节注入过程的参数,可以控制编程电压。不同量的注入可以用于得到不同的编程电压。对于具有不同的反熔丝电路结构的系统,注入过程可以用于调节不同类型的反熔丝电路以用相同或相似的编程电压来熔断。替代地,相似的反熔丝电路可以被不同地注入,使得它们甚至对相同的结构也具有不同的编程电压。制造过程示例被呈现为硅衬底上的平面CMOS器件。然而,注入技术也可以应用于其它类型的反熔丝结构,例如FinFET和3D晶体管结构。在一些情况下,可以在与晶圆的顶部成一角度下驱动注入,使得分子撞击具有竖直取向的栅极。
图13-19是在用于生产具有降低的编程电压的反熔丝电路的第二制造阶段序列中的处理阶段的替代序列的侧截面视图。在图2-12中,栅极最后形成,也就是说,在源极区和漏极区形成之后。在图13-19的这个第二制造序列中,栅极首先形成,也就是说,在源极区和漏极区形成之后。
在图13中,使用衬底302。衬底可以是硅或用于形成半导体电路的任何其它适合的材料。图14示出了在n阱304形成在一侧上之后的图13的衬底302。可以形成任何数量的阱,在这个示例中对于右边的n阱和左侧晶体管的p阱只形成一个阱。图15示出了浅沟槽隔离(STI)区域306在两个阱的任一侧上的添加。因此有在两个阱的每侧上的三个STI区域306和在阱之间的共享STI区域。
图16示出了在氧化物层308沉积在结构的整个表面之上的情况下的衬底302。这可以是常规氧化物或高k金属氧化物。对于高k金属栅极结构,可以沉积金属栅极310、312。可以使用具有两个不同的逸出功的两种不同的金属,一个针对n型区域而另一个针对p型区域,这取决于特定的实施方式。然后在多晶硅层314中覆盖金属。对于具有常规氧化物的多晶硅栅极,多晶硅可以直接沉积在氧化物上,而没有金属层。可以通过图案化掩模层并接着蚀刻掉多晶硅和下面的金属层来形成栅极结构。这将多晶硅和金属叠置体(如果存在的话)只留在将在衬底上形成的最终栅极的位置上。这留下覆盖栅极区的栅极氧化物和覆盖所有其它区域的金属氧化物(或常规氧化物)。栅极氧化物连同不同的逸出功金属(如果存在的话)和多晶硅一起限定栅极区。栅极区是在多晶硅314之下并包括多晶硅314的区域。
在图17中,然后在一角度下用重离子或分子(例如SiF4、氩和氮)注入(316)器件。这个注入过程类似于针对第一制造过程描述的注入过程,但有一个重要的差异。这个注入过程主要损坏金属栅极310、213的角以降低特别在那些区域中的击穿电压。这是由于有角度的注入,其允许重离子或分子穿透多晶硅的角和金属层,其中其薄到足以在一角度下被注入离子穿透。这也将杂质留在栅极旁边的S/D区域中和在栅极之下的沟道中。在所示示例中,由于额外的多晶硅314,金属栅极的中间的栅极氧化物不直接暴露于注入316。多晶硅减轻注入对栅极氧化物和其它栅极层的影响。其它层也可以用于控制注入的影响。在注入过程之后,在314的角处的金属栅极氧化物(或常规栅极氧化物)材料由该过程改变,并且现在将具有较低的击穿电压。
在图18中,从所有结构去除基本氧化物层308,除了在栅极之下以外。基本氧化物由金属栅极310、312和多晶硅保护。间隔体320(例如氮化硅间隔体)可选地形成在每个栅极周围。
图19示出了在沉积NMOS器件的源极区和漏极区332之后的衬底302。这些通过图案化注入操作324利用适合的掺杂剂来形成。掩模层322保护一种类型的结构,而另一类型结构被注入。对具有所注入的结构之上的掩模的其它器件重复该过程。通过使两个器件的源极区和漏极区域退火来形成金属接触层334以允许与器件的外部连接。硅化区域可选地形成在阱304的任一侧上。
图20示出了保护层326(例如电介质氧化物或ILD)被施加在衬底的整个表面之上,然后被抛光成平坦表面。可以将通孔蚀刻到栅极314。可以接着通过填充通孔来在栅极接触部之上形成电极330。额外的电极形成在S/D区域332之上。反熔丝器件被完成。然而,可以添加额外的层以提供额外的器件、布线、再分布和其它功能。还可以施加额外的层间电介质层和覆盖物。这些器件可以用于可以使用图12的器件的所有应用和配置。
图21示出了根据一个实施方式的计算设备11。计算设备11容纳板2。板2可以包括多个部件,包括但不限于处理器4和至少一个通信芯片6。处理器4物理地和电气地耦合到板2。在一些实施方式中,至少一个通信芯片6也物理地和电气地耦合到板2。在另外的实施方式中,通信芯片6是处理器4的部分。
根据其应用,计算设备11可以包括可以或可以不物理地和电气地耦合到板2的其它部件。这些其它部件可以包括但不限于易失性存储器(例如DRAM)8、非易失性存储器(例如ROM)9、闪速存储器(未示出)、图形处理器12、数字信号处理器(未示出)、密码处理器(未示出)、芯片组14、天线16、显示器18(例如触摸屏显示器)、触摸屏控制器20、电池22、音频编码解码器(未示出)、视频编码解码器(未示出)、功率放大器24、全球定位系统(GPS)设备26、罗盘28、加速度计(未示出)、陀螺仪(未示出)、扬声器30、照相机32和大容量存储设备(例如硬盘驱动器)10、光盘(CD)(未示出)、数字多功能盘(DVD)(未示出)等。这些部件可以连接到系统板2,安装到系统板或与其它部件中的任一个组合。通信芯片6实现了无线和/或有线通信,以用于将数据传输到计算设备11以及从计算设备11传输数据。术语“无线”及其派生词可以用于描述可以通过使用经调制电磁辐射来经由非固体介质传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并不暗示相关联的设备不包含任何导线,虽然在一些实施例中它们可以不包含导线。通信芯片6可以实施多种无线标准或协议中的任一种,包括但不限于Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其以太网派生物以及被指定为3G、4G、5G和更高代的任何其它无线和有线协议。计算设备11可以包括多个通信芯片6。例如,第一通信芯片6可以专用于较短距离的无线通信,例如Wi-Fi和蓝牙,并且第二通信芯片6可以专用于较长距离的无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
在一些实施方式中,处理器、存储器设备、通信设备或其它部件的集成电路单元包括编程反熔丝电路或与编程反熔丝电路封装在一起以包含操作参数、配置参数、识别信息、加密密钥或如本文所述的其它信息。术语“处理器”可以指代处理例如来自寄存器和/或存储器的电子数据以将该电子数据转换成可以存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
在各种实施方式中,计算设备11可以是膝上型电脑、上网本电脑、笔记本电脑、超级本电脑、智能电话、平板电脑、个人数字助理(PDA)、超移动PC、移动电话、桌上型计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器或数字视频记录器。在另外的实施方式中,计算设备11可以是处理数据的任何其它电子设备,包括可穿戴式设备。
实施例可以被实施为一个或多个存储器芯片、控制器、CPU(中央处理单元)、微芯片或使用母板进行互连的集成电路、专用集成电路(ASIC)和/或现场可编程门阵列(FPGA)的一部分。
对“一个实施例”、“实施例”、“示例性实施例”、“各种实施例”等的引用指示这样描述的(多个)实施例可以包括特定特征、结构或特性,但不是每个实施例都必需包括特定特征、结构或特性。此外,一些实施例可以具有针对其它实施例描述的特征中的一些、全部或没有这些特征。
在下面的描述和权利要求中,可以使用术语“耦合”连同其派生词。“耦合”用于指示两个或更多个元件彼此协作或交互作用,但它们可以有或可以没有在它们之间的中间物理或电气部件。
如本文中使用的,除非另有规定,否则用于描述共同的元件的序数词“第一”、“第二”、“第三”等的使用仅仅指示相似元件的不同实例正被引用,并且并不旨在暗示这样描述的元件必须在时间上、在空间上、在等级上或以任何其它方式采用给定的顺序。附图和前述描述给出了实施例的示例。本领域中的技术人员将认识到,一个或多个所述的元件可以被完全组合成单个功能元件。替代地,某些元件可以分成多个功能元件。来自一个实施例的元件可以添加到另一实施例。例如,本文所述的过程的顺序可以改变并且不限于本文所述的方式。而且,任何流程图的动作不需要以所示顺序实施;所有动作不需要都被执行。此外,不依赖于其它动作的那些动作可以与其它动作并行地被执行。实施例的范围决不由这些特定的示例限制。无论是否在说明书中明确给出,很多变化(例如在结构、尺寸和材料的使用方面的差异)是可能的。实施例的范围至少与所附权利要求给出的范围一样宽。下面的示例涉及另外的实施例。不同实施例的各种特征可以与被包括的一些特征以各种方式组合而其它特征被排除以适合各种不同的应用。一些实施例涉及方法,其包括在衬底上形成反熔丝电路,包括形成反熔丝电路的栅极区,将分子注入到栅极区中以损坏栅极区的结构,在栅极区之上形成电极以将反熔丝电路连接到其它部件。另外的实施例包括形成栅极电介质,并且其中注入包括注入到栅极电介质中以损坏栅极区中的栅极电介质和栅极电介质之下的沟道。
在一些实施例中,形成栅极电介质包括形成高K金属氧化物栅极电介质。
在一些实施例中,损坏的栅极电介质包括用于反熔丝电路的反熔丝元件。
另外的实施例包括在栅极区之上沉积第二栅极电介质和多晶硅栅极材料,对源极区和漏极区进行掺杂,以及在掺杂之后和在注入之前去除栅极电介质和多晶硅栅极材料。
另外的实施例包括在去除第一栅极介质之后和在注入之前在栅极之上沉积第二栅极电介质。
另外的实施例包括在栅极区之上形成栅极电介质以及在注入之前在栅极区之上形成栅极材料,并且其中注入进一步损坏栅极电介质的结构。
在一些实施例中,注入包括将SiF4分子注入到栅极区中。
在一些实施例中,注入包括等离子沉浸离子注入。另外的实施例包括在注入之前在栅极区之上施加栅极金属氧化物以及在注入之后在金属氧化物之上形成栅极金属层。
另外的实施例包括在栅极区之上形成多晶硅层,在栅极区旁边注入源极区和漏极区,以及在注入之前在栅极区之上去除多晶硅层。
一些实施例涉及反熔丝电路,其包括在阱之上的源极和漏极,在源极与漏极之间的沟道,沟道包括注入的分子杂质,以及在沟道之上的栅极,栅极被杂质分子损坏,使得栅极具有由于分子而引起的减小的击穿电压。
在一些实施例中,分子是SiF4。
在一些实施例中,栅极由金属和高K金属氧化物栅极电介质形成。
另外的实施例包括在沟道之上的栅极电介质。
另外的实施例包括在沟道与栅极之间的损坏的栅极金属氧化物。
另外的实施例包括在损坏的栅极金属氧化物与栅极之间的逸出功金属,逸出功金属不被杂质分子损坏。
一些实施例涉及计算系统,其包括处理器、耦合到处理器的大容量存储器、以及耦合到处理器的具有多个反熔丝晶体管的可编程只读存储器,每个反熔丝晶体管包括在阱之上的源极和漏极,在源极与漏极之间的沟道,沟道包括注入的分子杂质,以及在沟道之上的用于形成栅极的栅极电介质,栅极被杂质分子损坏,使得栅极具有由于分子而引起的减小的击穿电压。
在一些实施例中,可编程只读存储器包括高电压熔丝信号驱动器以对每个相应的反熔丝晶体管编程。
另外的实施例包括在沟道和栅极电介质之上的栅极金属。

Claims (20)

1.一种方法,包括:
在衬底上形成反熔丝电路,在衬底上形成反熔丝电路包括形成所述反熔丝电路的栅极区;
将分子注入到所述栅极区中以损坏所述栅极区的结构;
在所述栅极区之上形成电极以将所述反熔丝电路连接到其它部件。
2.根据权利要求1所述的方法,进一步包括形成栅极电介质,并且其中,注入包括注入到所述栅极电介质中以损坏位于所述栅极区中的所述栅极电介质和所述栅极电介质之下的沟道。
3.根据权利要求2所述的方法,其中,形成栅极电介质包括形成高K金属氧化物栅极电介质。
4.根据权利要求2或3所述的方法,其中,损坏的所述栅极电介质包括用于所述反熔丝电路的反熔丝元件。
5.根据上述权利要求中的任一项或多项所述的方法,进一步包括:
在所述栅极区之上沉积第二栅极电介质和多晶硅栅极材料;
对源极区和漏极区进行掺杂;以及
在掺杂之后和在注入之前去除所述栅极电介质和多晶硅栅极材料。
6.根据权利要求5所述的方法,进一步包括在去除所述第一栅极电介质之后和在注入之前在所述栅极之上沉积第二栅极电介质。
7.根据上述权利要求中的任一项或多项所述的方法,进一步包括:
在所述栅极区之上形成栅极电介质;以及
在注入之前在所述栅极区之上形成栅极材料,
并且
其中,注入进一步损坏所述栅极电介质的结构。
8.根据权利要求1所述的方法,其中,注入包括将SiF4分子注入到所述栅极区中。
9.根据上述权利要求中的任一项或多项所述的方法,其中,注入包括等离子沉浸离子注入。
10.根据上述权利要求中的任一项或多项所述的方法,进一步包括:
在注入之前在所述栅极区之上施加栅极金属氧化物;以及
继而在注入之后在所述金属氧化物之上形成栅极金属层。
11.根据权利要求10所述的方法,进一步包括:
在所述栅极区之上形成多晶硅层;
在所述栅极区旁边注入源极区和漏极区;以及
在注入之前在所述栅极区之上去除所述多晶硅层。
12.一种反熔丝电路,包括:
位于阱之上的源极和漏极;
位于所述源极与所述漏极之间的沟道,所述沟道包括注入的分子杂质;以及
位于所述沟道之上的栅极,所述栅极被所述杂质分子损坏,使得所述栅极具有由于所述分子而引起的减小的击穿电压。
13.根据权利要求12所述的电路,其中,所述分子是SiF4
14.根据权利要求12或13所述的电路,其中,所述栅极由金属和高K金属氧化物栅极电介质形成。
15.根据权利要求12、13或14所述的电路,进一步包括位于所述沟道之上的栅极电介质。
16.根据权利要求15所述的电路,进一步包括位于所述沟道与所述栅极之间的损坏的栅极金属氧化物。
17.根据权利要求15所述的电路,进一步包括位于损坏的所述栅极金属氧化物与所述栅极之间的逸出功金属,所述逸出功金属不被所述杂质分子损坏。
18.一种计算系统,包括:
处理器;
耦合到所述处理器的大容量存储器;以及
耦合到所述处理器的具有多个反熔丝晶体管的可编程只读存储器,每个反熔丝晶体管包括:
位于阱之上的源极和漏极;
位于所述源极与所述漏极之间的沟道,所述沟道包括注入的分子杂质;以及
位于所述沟道之上的用于形成栅极的栅极电介质,所述栅极被所述杂质分子损坏,使得所述栅极具有由于所述分子而引起的减小的击穿电压。
19.根据权利要求18所述的计算系统,其中,所述可编程只读存储器包括高电压熔丝信号驱动器以对每个相应的反熔丝晶体管编程。
20.根据权利要求18或19所述的计算系统,进一步包括位于所述沟道和所述栅极电介质之上的栅极金属。
CN201580080336.6A 2015-06-25 2015-06-25 反熔丝编程电压的受控修改 Active CN107667426B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2015/037762 WO2016209242A1 (en) 2015-06-25 2015-06-25 Controlled modification of antifuse programming voltage

Publications (2)

Publication Number Publication Date
CN107667426A true CN107667426A (zh) 2018-02-06
CN107667426B CN107667426B (zh) 2021-06-18

Family

ID=57586377

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580080336.6A Active CN107667426B (zh) 2015-06-25 2015-06-25 反熔丝编程电压的受控修改

Country Status (6)

Country Link
US (1) US20180145083A1 (zh)
EP (1) EP3314647A4 (zh)
KR (1) KR102439623B1 (zh)
CN (1) CN107667426B (zh)
TW (1) TW201703228A (zh)
WO (1) WO2016209242A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110875321A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 集成电路器件、电路和操作电路的方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9893019B2 (en) * 2015-09-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure, integrated circuit device, and method of forming semiconductor structure
US11515251B2 (en) * 2018-04-02 2022-11-29 Intel Corporation FinFET transistors as antifuse elements
US20200020707A1 (en) * 2018-07-13 2020-01-16 Ememory Technology Inc. Semiconductor processing method for manufacturing antifuse structure with improved immunity against erroneous programming

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096580A (en) * 1999-09-24 2000-08-01 International Business Machines Corporation Low programming voltage anti-fuse
CN1491417A (zh) * 2001-02-13 2004-04-21 可编程熔丝和反熔丝及其方法
US20140138777A1 (en) * 2012-11-21 2014-05-22 Qualcomm Incorporated Integrated circuit device and method for making same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610084A (en) * 1995-04-21 1997-03-11 U.S. Phillips Corporation Method of manufacturing an antifuse utilizing nitrogen implantation
US6553556B1 (en) * 2000-08-18 2003-04-22 Micron Technology Programmable element latch circuit
US6624031B2 (en) * 2001-11-20 2003-09-23 International Business Machines Corporation Test structure and methodology for semiconductor stress-induced defects and antifuse based on same test structure
US6940751B2 (en) * 2002-04-26 2005-09-06 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having variable gate oxide breakdown
US20040051162A1 (en) * 2002-09-13 2004-03-18 International Business Machines Corporation Structure and method of providing reduced programming voltage antifuse
US7321502B2 (en) * 2004-09-30 2008-01-22 Intel Corporation Non volatile data storage through dielectric breakdown
US20070017300A1 (en) * 2005-07-22 2007-01-25 Mts Systems Corporation Wear tester
KR100866960B1 (ko) * 2007-02-16 2008-11-05 삼성전자주식회사 반도체 집적 회로
JP2009206490A (ja) * 2008-01-30 2009-09-10 Elpida Memory Inc 半導体装置及びその製造方法
US8395923B2 (en) * 2008-12-30 2013-03-12 Intel Corporation Antifuse programmable memory array
US8617939B2 (en) * 2010-11-19 2013-12-31 International Business Machines Corporation Enhanced thin film field effect transistor integration into back end of line
US8975724B2 (en) * 2012-09-13 2015-03-10 Qualcomm Incorporated Anti-fuse device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096580A (en) * 1999-09-24 2000-08-01 International Business Machines Corporation Low programming voltage anti-fuse
CN1491417A (zh) * 2001-02-13 2004-04-21 可编程熔丝和反熔丝及其方法
US20140138777A1 (en) * 2012-11-21 2014-05-22 Qualcomm Incorporated Integrated circuit device and method for making same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110875321A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 集成电路器件、电路和操作电路的方法
CN110875321B (zh) * 2018-08-30 2023-12-12 台湾积体电路制造股份有限公司 集成电路器件、电路和操作电路的方法

Also Published As

Publication number Publication date
TW201703228A (zh) 2017-01-16
US20180145083A1 (en) 2018-05-24
EP3314647A4 (en) 2019-02-20
CN107667426B (zh) 2021-06-18
KR102439623B1 (ko) 2022-09-05
WO2016209242A1 (en) 2016-12-29
KR20180020285A (ko) 2018-02-27
EP3314647A1 (en) 2018-05-02

Similar Documents

Publication Publication Date Title
US7167397B2 (en) Apparatus and method for programming a memory array
US9406689B2 (en) Logic finFET high-K/conductive gate embedded multiple time programmable flash memory
US7102951B2 (en) OTP antifuse cell and cell array
JP4981661B2 (ja) 分割チャネルアンチヒューズアレイ構造
KR100888533B1 (ko) 반도체 장치
TWI501385B (zh) 非揮發性記憶單元與其形成方法與半導體元件
KR100967255B1 (ko) 반도체 집적 회로 장치 및 반도체 집적 회로 장치의 제조방법
CN101373635B (zh) 非易失存储器件
CN104025293B (zh) 利用非平面拓扑的反熔丝元件
TWI474326B (zh) 使用耦合通道的反熔絲記憶體及其操作方法
US9569358B2 (en) Electronic device and method for fabricating the same
US20070279977A1 (en) Semiconductor magnetic memory
KR102029918B1 (ko) 비휘발성 메모리 장치 및 그 제조방법
CN106030793A (zh) 使用间隔体击穿的反熔丝元件
CN107667426A (zh) 反熔丝编程电压的受控修改
JP2008010868A (ja) 垂直チャンネルを有する不揮発性メモリ装置およびその製造方法
KR102181605B1 (ko) 반도체 메모리 장치 및 그 제조 방법
US20080111182A1 (en) Forming buried contact etch stop layer (CESL) in semiconductor devices self-aligned to diffusion
US10892341B2 (en) Flash memory with assistant gate and method of fabricating the same
Chang et al. Investigation of two bits with multistate antifuse on nMOS poly-silicon junctionless GAA OTP
EP3343615A2 (en) Semiconductor device and method for manufacturing the same
CN110867446B (zh) 半导体器件及其制作方法、电子加密装置
US11751386B2 (en) Field-effect transistors, devices containing such field-effect transistors and methods of their formation
Chang et al. Nanosheet-Compatible Complementary-Field Effect Transistor Logic Non-Volatile Memory Device
TW202403768A (zh) 用於物理不可複製技術的帶環繞式閘極電晶體一次編程記憶胞

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant