CN107112268B - 用于间隙检测的智能止动器和控制机制 - Google Patents

用于间隙检测的智能止动器和控制机制 Download PDF

Info

Publication number
CN107112268B
CN107112268B CN201680005492.0A CN201680005492A CN107112268B CN 107112268 B CN107112268 B CN 107112268B CN 201680005492 A CN201680005492 A CN 201680005492A CN 107112268 B CN107112268 B CN 107112268B
Authority
CN
China
Prior art keywords
actuator
assembly
gas distribution
distance
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680005492.0A
Other languages
English (en)
Other versions
CN107112268A (zh
Inventor
S·坎德沃尔
G·K·翁
K·格里芬
J·约德伏斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107112268A publication Critical patent/CN107112268A/zh
Application granted granted Critical
Publication of CN107112268B publication Critical patent/CN107112268B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D5/00Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable
    • G01D5/26Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable characterised by optical transfer means, i.e. using infrared, visible, or ultraviolet light
    • G01D5/32Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable characterised by optical transfer means, i.e. using infrared, visible, or ultraviolet light with attenuation or whole or partial obturation of beams of light
    • G01D5/34Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable characterised by optical transfer means, i.e. using infrared, visible, or ultraviolet light with attenuation or whole or partial obturation of beams of light the beams of light being detected by photocells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Abstract

公开了用于使用止动器、致动器和发射器/检测器来测量两个部件之间的接近度的设备与方法,所述发射器/检测器使光通过所述致动器中的通路。所述通路提供对光的衰减,所述衰减随部件之间的间隙改变而改变,从而允许对间隙的测量与控制。还描述了使用设备来确定部件的拓扑的方法。

Description

用于间隙检测的智能止动器和控制机制
背景
本公开的实施方式总体上与用于处理基板的设备有关。更特别地,本公开与用于在基板上执行原子层沉积(ALD)与化学气相沉积(CVD)的批量处理平台有关。
形成半导体器件的工艺通常在含有多个腔室的基板处理平台中执行。在一些实例中,多腔室处理平台或群集工具的目的是在受控制环境中连续地在基板上执行两种或两种以上工艺。然而,在其他实例中,多腔室处理平台可仅在基板上执行单个处理步骤;而附加的腔室旨在使由平台处理基板的速率最大化。在后者的情况下,在基板上执行的工艺通常为批量工艺,其中在给定腔室中同时处理相对大量的基板,例如25或50个基板。批量处理对于以经济可行的方式在多个个别的基板上执行过于耗时的工艺(诸如,对ALD工艺与一些化学气相沉积(CVD)工艺)是特别有益的。
半导体产业对于工艺变异的容忍性随着半导体器件尺寸收缩而持续减小。为了满足这些更严格的工艺控制,产业已经发展许多满足严格的工艺窗口要求的新工艺,但是这些工艺时常需要较长的完成时间。例如,ALD是CVD的变体,与CVD相比,ALD表现出优异的阶梯覆盖。ALD基于原本运用于制造电致发光显示器的原子层外延(ALE)。ALD运用化学吸附以将反应前体分子的饱和单层沉积在基板表面上。这通过循环地交替适宜反应前体至沉积腔室中的脉冲来实现。反应前体的每一次注入一般由惰性气体净化来分离,以将新原子层至先前沉积的层,从而在基板的表面上形成均匀的材料层。重复反应前体与惰性净化气体的循环以将材料层形成至预定厚度。ALD技术最大的缺点在于,沉积速率比典型的CVD技术低至少一个数量级。例如,一些ALD工艺可使用从大约10至大约200分钟的腔室处理时间以在基板的表面上沉积高质量层。在为了较佳的器件性能而选择此类ALD与外延工艺时,在常规单个基板处理腔室中制造器件的成本将由于非常低的基板处理产出量而增加。因此,当实现此类工艺时,需要连续的基板处理方式以达到经济可行性。
新一代ALD工艺工具受益于对在晶片与沉积源(注入器)之间的间隙的严格控制,以满足跨晶片以及在晶片之间的成分与厚度均匀性。此工艺可以在宽温度范围中以及在晶片与沉积源之间的分离范围中进行。监测距离跨晶片区域(其可能直径达1.5米)的均匀性可能是重要的。同样,可针对热膨胀现象调整系统工作的温度范围以满足在处理袋口(pocket)中晶片放置的精确性。
因此,在本领域中,持续需要提供对于大温度范围从注入器到基座间隙进行控制的设备与方法。
发明内容
本公开的一些实施方式涉及一种设备,所述包括止动器,所述止动器具有具备顶部、底部和开口的主体,所述顶部具备顶端,所述底部具备底端,并且所述开口从所述顶端延伸至所述底端。致动器具有具备上部和下部的主体,所述上部具备上端,所述下部具备下端。所述上部具有延伸穿过所述主体的通路(passage)。所述通路具有第一端与第二端。所述致动器的尺寸经设计以可滑动地定位在所述止动器的所述开口内,使得当没有力施加至所述致动器的所述下端时,所述致动器的所述下端从所述止动器的所述底端突出第一距离。发射器与所述致动器中的所述通路的所述第一端对齐。检测器与所述致动器中的所述通路的所述第二端对齐。
本公开的附加实施方式涉及一种设备,所述设备包括止动器,所述止动器具有具备顶部、底部和开口的主体,所述顶部具备顶端,所述底部具备底端,并且所述开口从所述顶端延伸至所述底端。致动器具有具备上部和下部的主体,所述上部具备上端,所述下部具备下端。所述上部具有延伸穿过所述主体的通路。所述通路具有第一端与第二端。所述致动器的尺寸经设计以可滑动地定位在所述止动器的所述开口内,使得当没有力施加至所述致动器的所述下端时,所述致动器的所述下端从所述止动器的所述底端突出第一距离。O形环在所述止动器与所述致动器之间。所述O形环在所述止动器与所述致动器之间形成流体紧密密封。板材相邻于所述止动器。弹簧定位在所述止动器与所述板材之间。发射器与所述致动器中的所述通路的所述第一端对齐。检测器与所述致动器中的所述通路的所述第二端对齐。施加至所述致动器的所述下端的压力使所述致动器在所述止动器中的所述开口内滑动,使得弹簧处于最大压缩,并且致动器的所述下端从所述止动器的所述顶端突出第二距离,所述第二距离比所述第一距离短。所述造成从所述发射器通过所述通路传输至所述检测器的光的衰减从第一衰减改变为第二衰减。
本公开的进一步的实施方式涉及一种方法,所述方法包括:测量从发射器通过致动器的顶部中的通路传输至检测器的第一光量。所述致动器延伸穿过气体分配组件而到在所述气体分配组件与底座组件之间的间隙中。减小在所述底座组件与所述气体分配组件之间的所述间隙。在减小所述间隙之后,测量从所述发射器传输至所述检测器的第二光量。基于所述第一光量与所述第二光量确定在所述气体分配组件与所述底座组件之间的所述间隙。
附图说明
因此,为了可详细地理解本公开的上述特征的方式,可能已参照实施方式进行了对上文简要概述的本公开的更特定的描述,在所附附图中图示实施方式中的一些。然而,要注意到,所附附图仅图示本公开的典型实施方式,并因此不视为限制本公开的范围,因为本公开可以允许其他等效实施方式。
图1为根据本公开的一个或多个实施方式的处理腔室的横截面侧视图;
图2示出根据本公开的一个或多个实施方式的处理腔室的立体图,所述处理腔室具有多个饼形气体分配区段;
图3示出根据本公开的一个或多个实施方式的处理腔室的立体图;
图4为根据本公开的一个或多个实施方式的基板处理系统的示意平面图,所述基板处理系统配置有四个气体分配组件和装载站;
图5为根据本公开的一个或多个实施方式的处理系统的示意平面图;
图6示出根据本公开的一个或多个实施方式的、用于测量在多个部件之间的间隙的设备的横截面示意图;
图7A与图7B示出根据本公开的一个或多个实施方式的、在使用中的止动器和致动器的横截面示意图;
图8A与图8B示出根据本公开的一个或多个实施方式的、在间隙调整期间处于止动器与致动器之间的O形环的部分横截面示图;以及
图9A与图9B示出根据本公开的一个或多个实施方式的、在间隙调整期间介于板材与致动器之间的弹簧的部分横截面图式。
具体实施方式
本公开的实施方式涉及用于测量在注入器组件与基板或底座组件之间的间隙的设备与方法。本公开的一些实施方式涉及用于以可重复方式将晶片放置在底座组件上的设备与方法。本公开的一个或多个实施方式涉及用于测量底座组件温度的设备与方法。本公开的一些实施方式提供使用相机、电容传感器和设计元件进行的对跨镀覆区域(platingarea)的间隙的静态和动态3D映射以及直接温度测量与晶片放置坐标的即时(on the fly)调整,从而能够监测各种参数。
如在说明书和所附权利要求书中所使用,术语“晶片”、“基板”等可互换使用。在一些实施方式中,该晶片是刚性、分立的基板,例如,200毫米或300毫米的硅晶片。
本公开的实施方式可以与受益于在晶片与工艺腔室配件(例如,气体分配喷淋头)之间或在两个工艺配件(例如,喷淋头与晶片基座)之间的竖直维度控制的任何工艺一起使用。虽然本公开的实施方式参照半导体处理装备来描述,但是本公开的范围不限制为半导体处理。
在一些半导体工艺中,气体分配组件之间的间隙是在控制工艺均匀性时可能有用的参数。工艺腔室配件(像喷淋头)可被工程化以达到并维持特定的机械间隙,但是此间隙可能改变。例如,间隙会随温度变化,并且在配件分开的情况下,当打开工艺腔室进行维护时,间隙会变化。
此外,随着晶片的尺寸增长且产量的最大化导致同时处理多个晶片,基板支撑设备/配件的尺寸也随之增加。在此类系统中,沿晶片远离支撑件的位置存在显著下垂的可能性。喷淋头与晶片基座(底座)底座之间的间隙的非线性非均匀性会使确定与调整中心处的间隙变得困难。有限的空间、高温、等离子体辉光与来自工艺硬件的电气与机械干扰限制了用于腔室内基于非接触式的接近度传感器的选项。电容性/电感性传感器也同样过于昂贵。
图1示出处理腔室100的横截面,所述处理腔室100包含气体分配组件120(也称为注入器或注入器组件)和底座组件140。气体分配组件120是在处理腔室中使用的任何类型的气体递送装置。气体分配组件120包含面向底座组件140的前表面121。前表面121可以具有任何数量或种类的开口,以朝向底座组件140递送气流。气体分配组件120也包含外边缘124,在实施方式中,所示外边缘基本上为圆形。
所使用的气体分配组件120的具体形式可取决于所使用的特定工艺而变化。本公开的实施方式可与其中底座与气体分配组件之间的间隙受控的任何类型的处理系统一起使用。虽然可以运用各种类型的气体分配组件(例如,喷淋头),但是本公开的实施方式对于具有多个基本上平行的气体通道(channel)的空间ALD气体分配组件可以是特别有用的。如在说明书和所附权利要求书中所使用,术语“基本上平行”意味着气体通道的延伸轴在相同的总体方向上延伸。在气体通道的平行度上可以存在略微的不完美。多个基本上平行的气体通道可以包含至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。从(多个)第一反应气体A通道、(多个)第二反应气体B通道与(多个)净化气体P通道流动的气体被引向晶片的顶表面。气流的中的一些跨晶片的表面水平地移动,并且通过(多个)净化气体P通道离开处理区域。从气体分配组件的一个端移动至另一端的基板将依序暴露于工艺气体中的每一种,从而在基板表面上形成层。
在一些实施方式中,气体分配组件120是由单个注入器单元制成的刚性固定式主体。在一个或多个实施方式中,气体分配组件120由多个单独区段(例如,注入器单元122)形成,如图2中所示。单件主体或多区段主体都可以与所述公开的各种实施方式一起使用。
底座组件140定位在气体分配组件120下方。底座组件140包含顶表面141以及在所述顶表面141中的至少一个凹槽142。底座组件140也具有底表面143与边缘144。取决于被处理的晶片60的形状和尺寸,凹槽142可以是任何合适的形状与尺寸。在图1中示出的实施方式中,凹槽142具有平坦的底部以支撑晶片的底部,但是将理解,凹槽的底部可以变化。在一些实施方式中,凹槽围绕所述凹槽的外周缘边缘具有阶梯区域,所述阶梯区域尺寸经设计以支撑晶片的外周缘边缘。由阶梯支撑的晶片的外周缘边缘的量可例如取决于晶片的厚度以及已经存在于晶片的背侧上的特征的存在而变化。
在一些实施方式中,如图1中所示,在底座组件140的顶表面141中的凹槽142的尺寸经设计,使得被支撑在凹槽142中的晶片60具有与底座140顶表面141基本上共面的顶表面61。如说明书和所附权利要求书中所使用,术语“基本上共面”意味着晶片的顶表面与底座组件的顶表面在±0.2毫米内共面。在一些实施方式中,这些顶表面在±0.15毫米、±0.10毫米或±0.05毫米内共面。
图1的底座组件140包含支撑柱160,所述支撑柱160能够举升、下降并旋转底座组件140。底座组件140在支撑柱160的中心内可以包含加热器或气体管线或电气部件。支撑柱160可以是增加或减小底座组件140与气体分配组件120之间的间隙从而将底座组件140移动至适当位置的主要装置。底座组件140也可以包含微调致动器162,所述微调致动器162可对底座组件140进行微调整,以在底座组件140与气体注入器组件之间建立预定间隙170。
在一些实施方式中,间隙170的距离为:在大约0.1毫米至大约5.0毫米的范围中,或在大约0.1毫米至大约3.0毫米的范围中,或在大约0.1毫米至大约2.0毫米的范围中,或在大约0.2毫米至大约1.8毫米的范围中,或在大约0.3毫米至大约1.7毫米的范围中,或在大约0.4毫米至大约1.6毫米的范围中,或在大约0.5毫米至大约1.5毫米的范围中,或在大约0.6毫米至大约1.4毫米的范围中,或在大约0.7毫米至大约1.3毫米的范围中,或在大约0.8毫米至大约1.2毫米的范围中,或在大约0.9毫米至大约1.1毫米的范围中,或大约为1毫米。
附图中示出的处理腔室100是旋转料架形式腔室,其中底座组件140可以固持多个晶片60。如图2中所示,气体分配组件120可以包含多个分离的注入器单元122,当在注入器单元下方移动晶片时,每一个注入器单元122都能够在晶片上沉积膜。两个饼形注入器单元122示出为定位在底座组件140的大致相对的侧上并定位在底座组件140上方。注入器单元122的数量仅出于说明性目的而示出。将理解,可以包含更多或更少的注入器单元122。在一些实施方式中,存在足够数量的饼形注入器单元122以形成符合底座组件140形状的形状。在一些实施方式中,各个饼形注入器单元122中的每一个都可以独立地移动、移除和/或替换,而不影响其他注入器单元122中的任一者。例如,可以抬升一段以准许机器手臂接取底座组件140与气体分配组件120之间的区域,从而装载/卸载晶片60。
可以使用具有多个气体注入器的多个处理腔室以同时处理多个晶片,使得晶片经历相同的工艺流程。例如,如图4中所示,处理腔室100具有四个气体注入器组件与四个晶片60。在处理的开始时,晶片60可定位在多个注入器组件30之间。如17所示旋转底座组件14045°将使在多个注入器组件之间的每一个晶片60被移动至注入器组件以进行膜沉积,如由注入器组件下方的虚线圆所图示。额外的45°的旋转将会将晶片60移离开注入器组件30。利用空间ALD注入器,在晶片相对于注入器组件的移动期间,在晶片上沉积膜。在一些实施方式中,以增量形式旋转底座组件140,这防止晶片60在注入器组件下方停止。晶片60与气体分配组件120的数量可以相同或不同。在一些实施方式中,被处理的晶片的数量与气体分配组件的数量相同。在一个或多个实施方式中,被处理的晶片的数量为气体分配组件的数量的分数或整数倍数。例如,如果有四个气体分配组件,则有4x个被处理的晶片,其中x为大于或等于一的整数值。
图4中示出的处理腔室100仅表示一种可能的配置,并且不应该被视为限制本公开的范围。在此,处理腔室100包含多个气体分配组件120。在所示实施方式中,具有关于处理腔室100均匀地间隔的四个气体分配组件(也称为注入器组件30)。所示的处理腔室100为八边形;然而,本领域技术人员将理解,这是一种可能的形状,并且不应当被视为限制本公开的范围。所示的气体分配组件120为梯形,但其可以是单个圆形部件或由多个饼形段形成,如图2中所示。
图4中示出的实施方式包含装载锁定腔室180,或辅助腔室(像缓冲站)。腔室180连接至处理腔室100的一侧以允许例如基板(也称为晶片60)被装载至腔室100/从该腔室100卸载。晶片机器手臂可定位在腔室180中以将基板移动到底座上。
旋转料架(例如,底座组件140)的旋转可以是连续的或间歇的。在连续处理中,恒定地旋转晶片,使得晶片依序被暴露于注入器中的每一个。在间歇处理中,晶片可以移动至注入器区域并停止,接着移动至注入器之间的区域84并停止。例如,旋转料架可以旋转,使得晶片从注入器之间的区域跨过注入器(或邻近注入器停止)继续移动至下一注入器之间的区域,在所述下一注入器之间的区域,所述旋转料架可再次暂停。在注入器之间的暂停可提供用于在每一个层沉积之间的额外处理步骤的时间(例如,暴露于等离子体)。
本公开的一个或多个实施方式可用于间隙170的控制。参考图3,间隙170的控制可通过以下方式实现:使用外部安装的CCD相机210进行图像捕捉与处理和/或嵌入在气体分配组件120或镀覆平台(即,底座组件140)内的电容传感器230(在图1中示出),以进行对间隙170的实时且连续的测量。如在说明书和所附权利要求书中所使用,术语“图像”是指由光学仪器获得的二维数据点阵列,例如,数字相片,所述数字相片提供聚焦在视场211上或视场211内的主题物件的实际视觉表示。在一些实施方式中,完整的图像是在时间中的单个快照中被捕捉,而不是对于相同的物理空间进行连续或随机的序列的测量。图像可以是该三维主题物件的不中断的二维表示。
相机210可安装在例如绕着底座组件140的三个位置中,并且视场211足够宽以同时捕捉气体注入器板材与携载晶片的底座的等边缘,所述相机210面向上对着来自注入器的气流。这允许注入器充当测量间隙的参考点。使用三个相机,气体注入器板材和具有固定式底座的底座组件两者的单个图像允许确定由载体相对于注入器形成的平面。这对于监测镀覆表面的倾斜与偏移以及允许对此间隙进行的调整与校准是有用的。随着载体旋转来测量镀覆边缘的位置允许监测动态间隙非均匀性(作为示例,在载体平台的旋转或非均匀下垂期间因晃动而导致的)。可使用图像处理技术来识别板材的边缘,以提供静态与动态维度均匀性的二维映射。
据此,参考图3,本公开的一个或多个实施方式涉及沉积腔室100。设备包含气体分配组件120,所述气体分配组件120具有前表面121与边缘124。为了易于描述,气体分配组件120被示出为盘形单元,但是本领域技术人员将理解,这可以是非常不规则的形状,特别是在可能连接气体管线的顶部上。
腔室100也包含底座组件140,所述底座组件140与气体分配组件120间隔开。底座组件具有顶表面141、底表面143和边缘144。此空间被称为间隙170,并且是设备的主要反应区域。底座组件140具有支撑柱160,所述支撑柱160作为中心轴,所述底座组件140可绕所述中心轴旋转。该底座组件140具有顶表面141,所述顶表面141具有多个凹槽142(也称为袋口),所述凹槽142的尺寸经设计以固持多个基板。
相机210定位在靠近底座组件与气体分配组件的边缘,并且具有视场211,所述视场211包含气体分配组件120的边缘124、底座组件140的边缘144和间隙170。虽然在图3中仅示出单个相机210,但是也可以运用多于一个相机210。例如,由于需要最少三个点来界定平面,本公开的一些实施方式使用三个相机,这三个相机在被分析时可以提供用于计算平面的足够的数据。
在一些实施方式中,相机210具有视场211,所述视场包含底座组件140的边缘144。在这种实施方式中,相机210可被校正到与气体分配组件120不同的参考平面。例如,相机210可被校正到不在图像中的某个参考平面(例如,诸如机械标准平面)。间隙可从底座边缘144相对于标准平面的位置中计算出。
相机210被连接至控制器220。控制器220可以是计算机,所述计算机具有用于存储信息的存储器以及用于分析数据并与外部设备(像是相机210或致动器162)进行通信的支持电路。控制器评估来自相机210的图像以确定在底座组件140的顶表面141与气体分配组件120的前表面121之间的间隙170。
相机210产生可由控制器220分析的图像。在分析期间,控制器220可以检测与气体分配组件120的前表面121相关联的气体分配组件的前边缘,并且检测与底座组件140的顶表面141相关联的底座组件140的顶边缘。当相机210处于相距气体分配组件120的已知距离处时,气体分配组件的前边缘与底座组件的顶边缘之间的像素数量与间隙170的尺寸成比例。相机210可以包含透镜,所述透镜可显示在多个像素上的间隙。这意味着透镜具有足够的分辨率以显示间隙。控制器可对边缘之间的像素数量计数,并且将此值与用于至气体分配组件120的给定距离的查找表进行比较。虽然描述了查找表技术,但是本领域技术人员将理解,仍有着将相机210的图像与间隙170的尺寸关联的其他技术(例如,标准化方程式)。
参考图5,在一些实施方式中,具有围绕沉积腔室100的内部区域间隔的至少三个相机210。图5中示出的实施方式是示出气体分配组件120顶部的俯视图,其中底座组件140定位在纸面平面下方。为了易于可视化,从此附图中省略了至气体分配组件120的气体管线与连接,但是将理解,可以具有至气体分配组件的任何数量的气体连接或真空连接。间隔可以变化,例如,每一个相机210都可以绕着圆形气体分配组件120与底座组件140相距120°。每一个相机210都可以同时看到气体分配组件120的边缘124、底座组件140的边缘144以及间隙170。从每一个相机210取得的图像可由控制器220处理,并且可确定在每一个相机210位置处的间隙170。控制器可以确定相对于由气体分配组件120形成的平面而由底座组件140形成的平面。这将允许计算相对于固定气体分配组件120的倾斜角度。例如,如果由相机210中的每一个测量到的间隙170完全相同,则在底座组件140与气体分配组件120之间将基本上不存在倾斜,这意味着这两者是共面的。仅分析底座的边缘不能够确保整个底座完全是平坦的,因此这个部件将与可能并非完美地共面的小区域基本上共面。
当底座组件140是固定式时,对间隙的多相机分析可以是特别有用的。控制器可以分析间隙,且随后将信号发送至支撑柱160或致动器162,所述支撑柱160或致动器162在图1底部上以及在图3顶部上示出,能够改变间隙170的尺寸。控制器220可以包含与至少一个间隙控制致动装置(例如,支撑柱160或致动器162)通信的反馈电路。控制器220可将信号提供至至少一个间隙控制致动装置,以引导装置移动底座组件140和气体分配组件120中的一者或多者,从而改变间隙170的尺寸。
除了分析固定式底座至注入器的间隙170之外,控制器还可以动态地分析底座组件140的平面度。可以使用单个相机210以在底座组件140旋转时记录多个间隙的图像。这些可被加以时间戳或可与底座组件140的特定旋转角度相关联。将间隙170分析为角度或时间的函数,控制器可计算底座组件140的平面度。虽然可仅使用单个相机用于对平面度的动态测量,但是也可以使用多个相机。
在一些实施方式中,气体分配组件120在所述气体分配组件120的边缘124上包含参考标记125。参考标记125可用作在计算间隙170时气体分配组件120的前表面121的替代。图1中示出的参考标记例如可以是位于距气体分配组件120的前表面121已知距离处的蚀刻至气体分配组件120边缘124中的沟槽。由相机捕捉的图像将包含参考标记125,所述参考标记将将更容易由控制器220所检测,而取代确定气体分配组件120的边缘。类似的参考标记可建立在底座组件140的边缘144上,并且能以相同方式使用。在一些实施方式中,控制器220分析来自相机210的图像,在所述图像中,两者参考标记都为可见。参考标记之间的间隔(其小于距单独组件的边缘的参考标记的距离)将形成间隙170的尺寸。
在一些实施方式中,在固定注入器板材中安装传感器230(例如,电容传感器或涡流传感器;参见图1)可实现间隙或底座组件140的表面的实时三维映射。这可以在晶片载体板材为固定式时或在晶片载体板材在注入器组件下方旋转时被执行。电容传感器头面向晶片载体表面并传输电信号,所述电信号与载体表面距传感器的距离成比例。跨镀覆区域在多个不同位置中安装若干传感器允许对在晶片与气源之间的间隔的静态与动态三维映射。
多个电容传感器230可定位在气体分配组件120的前表面121上,被引导朝向底座组件140的顶表面141。如果电容传感器230并非与气体分配组件的表面完美地齐平,则偏移量可被包含在任何计算中。控制器220可连接至多个电容传感器230,以便从由多个电容传感器230提供的电信号中确定在底座组件140的顶表面141与气体分配组件120的前表面121之间的间隙170。
在一些实施方式中,当底座组件140保持固定时,控制器220在每一个电容传感器230位置处确定在底座组件140的顶表面141与气体分配组件120的前表面121之间的间隙170。在绕气体分配组件的表面放置有足够的传感器230的情况下,可以获得底座表面的详细三维映射。
在一个或多个实施方式中,控制器220使用来自至少一个电容传感器230而在处理循环期间取得的多个测量,底座组件140在所述处理循环中旋转。控制器220可从在处理循环期间由电容传感器230提供的电信号来确定底座组件140相邻于电容传感器230的部分的平面度。跨底座组件140的不同部分的这种分析可通过确定组件的同心部分的平面度,将底座组件的平面度的详细映射提供为距底座组件的边缘的距离的函数。
再次地,控制器220可以包含与至少一个间隙控制致动装置通信的一个或多个反馈电路。在对底座组件140的平面度的详细分析的情况下,控制器220可将信号提供至一个或多个致动器,以便只移动底座组件140的一部分更靠近或更远离注入器组件。这在底座组件140由多个饼形部分形成的情况下(像图2的注入器组件)可以是特别有用的。
本公开的各种实施方式涉及接触式传感器,所述接触式传感器在空间、温度、真空整体性与成本的约束中工作以确定并调整在腔室的中心处的间隙。在一些实施方式中,致动器可嵌入在喷淋头的中心中。致动器可以在顶部具有宽头部,而在底部具有薄长的插销。在一些实施方式中,致动器头部位于注入器上的顶部处,并且由弹簧向下推动。
在一个或多个实施方式中,致动器插销延伸小于在底部处超出注入器的预定间隙的量。固定在注入器顶部处的发射器-接收器经由穿过致动器头部的通孔彼此相望。随着底座朝上推动致动器插销,可覆盖用于光束的开口,从而减少由接收器接收的光的量并减小信号。此信号的减小或光衰减可用于确定致动器已被上推的量。通过知晓致动器下端相对于注入器板材的下表面的初始位置,可确定底座距注入器的距离。在一些实施方式中,致动器是弹簧,所述弹簧安装在顶部以在底座并不接触时将致动器往回移动到其原始位置。
在一些实施方式中,可以包括致动器头部下方的O形环,以防止在操作期间的最大与最小压缩时发生泄漏(即,形成流体紧密密封)。此方法可例如用于:确定并调整在工艺腔室中在多个工艺配件(注入器与底座)之间的中心间隙;通过与多个位于边缘的相机一起工作,来确定底座的倾斜与下垂;以及通过与相机成群组工作,来控制高度沿晶片支撑设备/配件的工艺表面的变化。
一些实施方式的接近度传感器可在比标准光学解决方案更高的温度和更小的空间中操作。与电容式与电感式传感器相比,接近度传感器也不受腔室内电/等离子噪声的影响。本公开的实施方式可在实际工艺条件下进行测量,以便例行地在大的工艺配件的中心处确认并维持精确的间隙控制。此类测量的结果可被反馈至晶片搬运系统,从而自动地维持最佳的晶片放置与间隙控制。
参考图6,描述了接近度传感器300的实施方式。止动器310具有主体311,所述主体311具有顶部312和底部313。顶部312具有顶端314,而底部313具有底端316。开口317从顶端314穿过主体311延伸至底端316。
在一些实施方式中,顶部312具有凸缘318,所述凸缘318可以连接至例如气体分配组件120。在图6中示出的实施方式中,止动器310具有凸缘318,所述凸缘以多个螺栓319连接至气体分配组件120的前表面121。
止动器310的底部313可以与气体分配组件120的前表面121齐平。该底部313可以在气体分配组件120内凹陷。然而,在图6中示出的实施方式中,止动器310的底部313延伸超出气体分配组件120前表面121,使得存在从前表面121突出的部分315。当表面(例如,底座)被移近气体分配组件120时,突出的部分315可以保护气体分配组件120的前表面121。此表面将与止动器310的底端316接触,这将防止此表面变得更靠近前表面121。
底部313突出的量(或换句话说,突出部分315的长度)在任何位置处都可以大于大约0.1毫米。在一些实施方式中,部分315具有高达大约1毫米的长度,或在大约0毫米至大约1毫米的范围中,或大于0毫米至大约1毫米。
致动器320具有主体321,所述主体321上部322与下部323。上部322具有一上端324,而下部323具有下端325。致动器320可滑动地定位在止动器310中的开口317内。如在说明书和所附权利要求书中所使用,术语“可滑动地”意味着致动器可在开口内滑动。
所示实施方式在致动器320与止动器310之间具有可见间隙。此间隙可以是不干扰处理腔室中的压力的任何尺寸。对于圆形致动器,外径可非常接近开口317的内径,使得在致动器的可滑动移动期间存在一些摩擦。
致动器320的横截面形状可以例如为圆形、方形或八边形。致动器320的形状总体上匹配止动器310中开口317的形状。例如,如果止动器310具有三角形横截面的开口,则致动器320的主体321也可以具有三角形横截面。致动器320的形状的尺寸经设计以在止动器310开口317内适配。虽然其他描述可能将致动器320、止动器310、开口317或其他部件参考为圆形,但是本领域技术人员将理解,其他的形状也落在本公开的范围内。
通路330从第一端331延伸通过主体321的上部322而至第二端332。通路330可以延伸通过上部322的横截面形状的中间,或偏离中心。例如,如果上部322具有圆形横截面,则通路330可径向地延伸通过上部322,使得通路穿过圆形横截面的中心。在一些实施方式中,通路330偏轴地延伸通过上部322。例如,在圆形上部322中,通路330可以像连接上部322的外边缘上的任何两点的圆中的弦那样延伸。
致动器320的尺寸经设计以延伸超出止动器310的底端316,使得致动器320的下端325从止动器310的底端316突出。因此,当没有力施加至致动器320底端325时,致动器320的下端325从止动器的底端316突出第一距离D1。这可见于图7A。
止动器310与致动器320可由任何合适的材料制成。在一些实施方式中,止动器310与致动器320两者都由不锈钢制成。图6中所示的实施方式对于止动器310、致动器320、气体分配组件120、螺栓319与其他元件具有不同的阴影,仅只用于帮助图示不同的部件,而不指定构造的材料。虽然这些部件中的每一个都可用不同的材料制成,但是使这些元件全部或这些部件中的一些由相同的材料制成可能是有用的。例如,一些实施方式的致动器320与止动器310由具有类似或完全相同的热膨胀系数的材料制成。
发射器340与致动器320中的通路330的第一端331对齐。检测器350与致动器320中的通路330的第二端332对齐。发射器340可以是可与检测器350交互以提供由发射器与检测器之间的部件所造成的阻碍的测量的任何合适的部件。如说明书和所附权利要求书中所使用,在这方面所使用的“对齐”意味着,在致动器的可滑动移动期间的某时刻,检测器将具有对发射器的直接视线。所述直接视线可能被致动器320的上部322部分地阻挡或完全不受阻挡。发射器340的合适示例包含但不限于激光和LED。合适的检测器350包含但不限于二极管阵列和光子计数装置。
如图7A与图7B中所示,致动器320的移动造成发射器340与检测器350相对于通路330的对齐情形改变。在图7A中,致动器320的下端325不与底座组件140的前面141接触。因此,下端325从止动器310的底端316突出第一距离D1。在所示实施方式中,通路330基本上不对发射器340与检测器350之间的视线造成干扰。如在说明书和所附权利要求书中所使用,以此方式使用的术语“基本上不干扰”意味着小于大约10%的发射的光不会被检测器测得。此刻,通道330对来自发射器的光提供第一衰减。如在此上下文中所使用,来自发射器的光的“衰减”意味着例如由致动器320的上部322阻挡的光的量。零衰减意味着由发射器340发射的所有的光都通过通路330。
在图7B中,已抬升底座组件140,使得在底座组件的行进期间,顶表面141与致动器320的下端325接触。此接触造成致动器320朝上的可滑动移动,使得致动器320的上部322开始阻挡来自发射器340的光抵达检测器350。通路330因此提供对光的第二衰减,因为来自发射器340的光的较少部分通过通路330。第二衰减与第一衰减不同,使得可以测量间隙170的改变。
在图7A与图7B中示出的实施方式中,当致动器320突出第一距离D1(图7A)时,第一衰减小于当致动器突出第二距离D2(图7B)时的第二衰减。如图所示,由于发射器/检测器相对于光的大部分或全部都可以通过通路对齐,因此第一衰减为低。第二衰减大得多,这意味着较少的光将通过通路,因为致动器320的上部322的部分阻挡来自发射器的光,而不是允许光通过通路330。
在一些实施方式中,发射器340与检测器350相对于通路330对齐,使得当致动器320突出第一距离时(即,不抵靠致动器朝上推动)时,第一衰减高于当致动器320朝上移动而使得所述致动器320突出第二距离D2的时候。在此情形中,当在D1位置中时,致动器320的上部322正阻挡光中的一些或全部通过通路330。当间隙170被减小使得致动器320被上推时,通路330变得与发射器/检测器更好地对齐。因此,来自发射器340的更少的光被阻挡通过通路330而抵达检测器350。
在一些实施方式中,如图6中所示,O形环360定位在致动器320与止动器310之间。在所示实施方式中,O形环360在致动器的凸缘328与止动器310的凸缘318之间。O形环360帮助在止动器310与致动器320之间形成流体紧密密封。实施方式当致动器从延伸第一距离D1移动至延伸第二距离D2时,一些实施方式的流体紧密密封被维持。这在图8A与图8B中图示。在图8A中,致动器320延伸第一距离D1。O形环360示出为被压缩到几乎为平坦。当致动器320移动至图8B中的位置时,延伸第二距离D2,O形环360是几乎完全扩展,但继续维持致动器320与止动器310之间的流体紧密密封。维持流体紧密密封将有助于确保能够维持处理腔室压力。
在一些实施方式中,弹簧370定位成相邻于致动器320的顶端324并与致动器320的顶端324接触。板材375定位成在与致动器320相对的侧上相邻于弹簧370。板材375对弹簧提供压力以朝下推动致动器320。在一些实施方式中,当致动器突出第二距离D2时,弹簧370处于最大压缩。在一些实施方式中,当致动器320突出第一距离D1时,弹簧370不处于最大压缩。这可见于图9A与图9B。在图9A中,弹簧370被扩展,也就是说,并非完全压缩。弹簧370可处于无压缩状态或部分地被压缩。在图9B中,当致动器处于第二距离D2时,弹簧370已经被完全压缩,并且致动器320可能无法进一步移动。在一些实施方式中,当弹簧处于最大压缩时(图9B),致动器320从止动器310的底端突出至少大约0.1毫米。
在图6中示出的实施方式中,板材375通过螺栓379被附接至止动器310的凸缘318。在一些实施方式中,止动器310的顶部312具有凸缘318,所述凸缘318以板材与止动器之间的一距离连接至板材,使得当弹簧370处于最大压缩时,致动器320的下端从止动器310的底端突出在大约0.1毫米至1.2毫米的范围内的第二距离。
图6的实施方式包含控制器380,所述控制器380具有反馈电路,可用于测量在气体分配组件与底座组件之间的间隙。控制器380示出为与发射器340与检测器350通信,但也可以与升举底座组件的发动机通信。因此,随着间隙的尺寸减小,控制器380与反馈电路可以测量从发射器传递至检测器的光。当间隙已经被调整为如由发射器/检测器组合确定的预定量时,反馈电路可以停止移动底座组件。
本公开的一些实施方式涉及调整底座组件与气体分配组件之间的间隙的方法。参考图7A与图7B,包含止动器310与致动器320的接近度传感器300定位在气体分配组件中开口内,使得致动器320的下端325从止动器310的底部延伸第一距离D1而进入气体分配组件120与底座组件140之间的间隙170中。从发射器340通过通路330而传输至检测器350的光的量被测量。此测量可使用任何合适的技术进行,包含但不限于控制器380。通路330使来自发射器的光衰减,从而提供第一衰减。在图7A的实施方式中,第一衰减基本上不对来自发射器340的光通过通路330而至检测器350的造成干扰。
底座组件140与气体分配组件120被移动得更加靠近以减小该间隙170。可移动气体分配组件120或底座组件140中的任一者或这两者以减小间隙170的尺寸。随着间隙减小,底座组件140的顶表面141与致动器320的下端325接触,从而朝上推动致动器,从而减小致动器从止动器310的底部延伸的距离。当第一距离D1减小至第二距离D2时(如图7B中示出),通路330相对于发射器340与检测器350移动。通路330衰减来自发射器340的光,从而提供与第一衰减不同的第二衰减。检测器可用于测量来至发射器通过第二衰减的光。
可从检测器350的测量中确定间隙170。以第二衰减检测的光的量可以与例如控制器380中的查找表比较以确定在致动器320处测得的间隙170。因此,基于当通路330提供第一衰减时测得的第一光量以及当通路330提供第二衰减时测得的第二光量,可确定在气体分配组件120与底座组件140之间的间隙170。
也可使用致动器320与定位成相邻于底座组件140的至少一个相机210测量底座组件的拓扑。在致动器320处的间隙170使用来自通路330的第二衰减来测量。底座组件140的边缘相对于中心可能下垂或倾斜。通过将至少一个相机定位成相邻于底座组件,使得气体分配组件120的边缘124与底座组件140的边缘144处于相机210的视场211中。来自相机210的视场211的图像用于确定气体分配组件120的前表面121与底座组件140的顶表面141的位置,并因此确定所述气体分配组件120的前表面121与底座组件140的顶表面141之间的间隙170。可使用利用一个或多个相机210在多个数字置处测量间隙170以确定底座的拓扑(即,倾斜或下垂)。
虽然上文涉及本公开的实施方式,但可在不背离本公开的基本范围的情况下设计本公开的其他和进一步的实施方式,并且本公开的范围由所附权利要求书来确定。

Claims (20)

1.一种沉积设备,包括:
止动器,所述止动器具有具备顶部、底部和开口的主体,所述顶部具备顶端,所述底部具备底端,并且所述开口从所述顶端延伸至所述底端;
致动器,所述致动器具有具备上部和下部的主体,所述上部具备上端,所述下部具备下端,所述上部具有延伸穿过所述致动器的所述主体的通路,所述通路具有第一端与第二端,所述致动器的尺寸经设计以可滑动地定位在所述止动器的所述开口内,使得当没有力施加至所述致动器的所述下端时,所述致动器的所述下端从所述止动器的所述底端突出第一距离;
发射器,所述发射器与所述致动器中的所述通路的所述第一端对齐;以及
检测器,所述检测器与所述致动器中的所述通路的所述第二端对齐。
2.如权利要求1所述的沉积设备,进一步包含O形环,所述O形环在所述止动器与所述致动器之间,所述O形环在所述止动器与所述致动器之间形成流体紧密密封。
3.如权利要求1所述的沉积设备,进一步包含弹簧与板材,所述弹簧定位成相邻于所述致动器的所述上端,并且所述板材定位成相邻于所述弹簧,所述板材向所述弹簧提供压力。
4.如权利要求3所述的沉积设备,其中所述止动器的所述顶部包括凸缘,并且所述板材以螺栓固定至所述凸缘。
5.如权利要求3所述的沉积设备,其中施加至所述致动器的所述下端的压力使所述致动器在所述止动器中的所述开口内滑动,使得所述弹簧处于最大压缩,并且所述致动器的所述下端从所述止动器的所述底端突出第二距离,所述第二距离比所述第一距离短。
6.如权利要求5所述的沉积设备,其中当所述弹簧处于最大压缩时,所述致动器的所述下端从所述止动器的所述底端突出至少0.1毫米。
7.如权利要求5所述的沉积设备,其中所述致动器的移动使所述发射器与所述检测器相对于所述通路的对齐情形改变。
8.如权利要求7所述的沉积设备,其中当所述致动器的所述下端从所述止动器突出所述第一距离时,所述发射器与所述检测器相对于所述通路对齐,使得所述通路对来自所述发射器的正由所述检测器检测的光提供第一衰减,而当所述致动器突出所述第二距离时,所述通路对来自所述发射器的正由所述检测器检测的所述光提供第二衰减,使得所述第一衰减与所述第二衰减不同。
9.如权利要求8所述的沉积设备,其中当所述致动器突出所述第一距离时,所述第一衰减小于当所述致动器突出所述第二距离时的所述第二衰减。
10.如权利要求8所述的沉积设备,其中当所述致动器突出所述第一距离时,所述第一衰减大于当所述致动器突出所述第二距离时的所述第二衰减。
11.一种沉积设备,包括:
气体分配组件,所述气体分配组件具有前表面、后表面和边缘;
底座组件,所述底座组件与所述气体分配组件间隔开,用于绕中心轴旋转多个基板,所述底座组件具有顶表面、底表面和边缘,所述顶表面具有多个凹槽以固持多个基板,其中所述底座组件的所述顶表面和所述气体分配组件的所述前表面界定间隙;
相机,所述相机具有视场,所述视场包含所述底座组件的边缘;
控制器,所述控制器连接至所述相机以确定在所述底座组件的所述顶表面与所述气体分配组件的所述前表面之间的所述间隙;以及
如权利要求4所述的设备,其中所述止动器上的凸缘连接至所述气体分配组件的所述后表面,并且所述止动器的底端延伸穿过所述气体分配组件并从所述气体分配组件的所述前表面突出。
12.如权利要求11所述的沉积设备,进一步包括控制器,所述控制器具有反馈电路,所述反馈电路测量所述气体分配组件与所述底座组件之间的所述间隙。
13.一种沉积设备,包括:
止动器,所述止动器具有具备顶部、底部和开口的主体,所述顶部具备顶端,所述底部具备底端,并且所述开口从所述顶端延伸至所述底端;
致动器,所述致动器具有具备上部和下部的主体,所述上部具备上端,所述下部具备下端,所述上部具有延伸穿过所述致动器的所述主体的通路,所述通路具有第一端与第二端,所述致动器的尺寸经设计以可滑动地定位在所述止动器的所述开口内,使得当没有力施加至所述致动器的所述下端时,所述致动器的所述下端从所述止动器的所述底端突出第一距离;
O形环,所述O形环在所述止动器与所述致动器之间,所述O形环在所述止动器与所述致动器之间形成流体紧密密封;
板材,所述板材相邻于所述止动器;
弹簧,所述弹簧定位在所述止动器与所述板材之间;
发射器,所述发射器与所述致动器中的所述通路的所述第一端对齐;以及
检测器,所述检测器与所述致动器中的所述通路的所述第二端对齐,
其中施加至所述致动器的所述下端的压力使所述致动器在所述止动器中的所述开口内滑动,使得所述弹簧处于最大压缩,并且所述致动器的所述下端从所述止动器的所述底端突出第二距离,所述第二距离比所述第一距离短,并且所述通路使从所述发射器通过所述通路传输至所述检测器的光的衰减从第一衰减变化到第二衰减。
14.如权利要求13所述的沉积设备,其中当所述致动器突出所述第一距离时,所述第一衰减小于当所述致动器突出所述第二距离时的所述第二衰减。
15.如权利要求13所述的沉积设备,其中当所述致动器突出所述第一距离时,所述第一衰减大于当所述致动器突出所述第二距离时的所述第二衰减。
16.如权利要求13所述的沉积设备,其中所述止动器的所述顶部具有凸缘且所述止动器连接至所述板材一距离,使得当所述弹簧处于最大压缩时,所述致动器的所述下端从所述止动器的所述底端突出在0.1毫米至1.2毫米范围内的第二距离。
17.一种沉积方法,所述方法包括以下步骤:
测量从发射器通过致动器的顶部中的通路传输至检测器的第一光量,所述致动器延伸穿过气体分配组件而到在所述气体分配组件与底座组件之间的间隙中;
减小在所述底座组件与所述气体分配组件之间的所述间隙;以及
在减小所述间隙之后,测量从所述发射器传输至所述检测器的第二光量;以及
基于所述第一光量与所述第二光量确定在所述气体分配组件与所述底座组件之间的所述间隙。
18.如权利要求17所述的沉积方法,所述方法进一步包括以下步骤:
将至少一个相机定位成相邻于所述底座组件与所述气体分配组件,使得所述底座组件的边缘、所述气体分配组件的边缘和所述间隙在所述相机的视场中是可见的;
取得所述相机的所述视场的图像,所述图像包含所述底座组件的边缘、所述气体分配组件的边缘和所述间隙;
确定所述底座组件的顶表面和所述气体分配组件的前表面在所述图像上的位置;以及
从所述图像测量在所述底座组件的所述顶表面与所述气体分配组件的所述前表面之间的所述间隙。
19.如权利要求18所述的沉积方法,所述方法进一步包括以下步骤:绕轴旋转所述底座组件,并取得所述相机的所述视场的多个附加图像。
20.如权利要求19所述的沉积方法,所述方法进一步包括以下步骤:从来自所述相机的所述视场的所述图像确定所述底座组件的所述顶表面的拓扑,并且确定在所述气体分配组件与所述底座组件之间的所述间隙。
CN201680005492.0A 2015-01-22 2016-01-20 用于间隙检测的智能止动器和控制机制 Active CN107112268B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562106505P 2015-01-22 2015-01-22
US62/106,505 2015-01-22
PCT/US2016/014039 WO2016118572A1 (en) 2015-01-22 2016-01-20 Intelligent hardstop for gap detection and control mechanism

Publications (2)

Publication Number Publication Date
CN107112268A CN107112268A (zh) 2017-08-29
CN107112268B true CN107112268B (zh) 2020-07-31

Family

ID=56417673

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680005492.0A Active CN107112268B (zh) 2015-01-22 2016-01-20 用于间隙检测的智能止动器和控制机制

Country Status (6)

Country Link
US (2) US9663859B2 (zh)
JP (2) JP2016148107A (zh)
KR (1) KR102484314B1 (zh)
CN (1) CN107112268B (zh)
TW (1) TW201629264A (zh)
WO (1) WO2016118572A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
JP6976725B2 (ja) 2016-06-07 2021-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ウエハ均一性のための輪郭ポケット及びハイブリッドサセプタ
CN106707573B (zh) * 2017-02-17 2019-10-15 福州京东方光电科技有限公司 绑定装置、显示面板、绑定系统及其操作方法
KR101970780B1 (ko) * 2017-04-13 2019-04-22 삼성디스플레이 주식회사 기판 처리 시스템 및 기판 반송 방법
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
JP2023519306A (ja) * 2020-03-27 2023-05-10 ラム リサーチ コーポレーション レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
FI130861B1 (fi) * 2020-10-12 2024-04-26 Beneq Oy Atomikerroskasvatuslaitteisto ja menetelmä
KR20240000350A (ko) * 2021-04-26 2024-01-02 램 리써치 코포레이션 기판 지지부와 가스 분배 디바이스 사이의 갭을 측정하기 위한 장치들
US20230143537A1 (en) * 2021-11-11 2023-05-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor processing tool and method of operation
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems
JP2024002304A (ja) * 2022-06-23 2024-01-11 東京エレクトロン株式会社 成膜装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4723084A (en) * 1986-11-13 1988-02-02 General Electric Company Light conductor brush wear detector assembly
JPH065486A (ja) * 1992-06-22 1994-01-14 Dainippon Screen Mfg Co Ltd 近接露光装置のギャップセンサ
US5373153A (en) * 1993-01-04 1994-12-13 Motorola, Inc. Optoelectronic tilt detector having tapered floors extending in same direction
CN101919041A (zh) * 2008-01-16 2010-12-15 索绍股份有限公司 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
CN102077330A (zh) * 2008-07-11 2011-05-25 应用材料股份有限公司 具有喷洒头的快速热处理腔室
CN103534799A (zh) * 2011-06-02 2014-01-22 应用材料公司 用于使用电容式传感器放置基板的设备和方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3769802B2 (ja) 1996-02-09 2006-04-26 株式会社日立製作所 半導体装置の製造方法
KR100265212B1 (ko) 1998-08-27 2000-09-15 박대성 폐펄프슬러지를 이용한 용강 표면보온재
JP2000183033A (ja) * 1998-12-15 2000-06-30 Nec Yamaguchi Ltd 半導体製造方法及び半導体製造装置
KR20000015192U (ko) 1998-12-31 2000-07-25 김영환 수평조절장치
KR100471018B1 (ko) * 2000-11-28 2005-03-08 스미도모쥬기가이고교 가부시키가이샤 두 개의 대상물 간의 갭 조절장치 및 조절방법
US6935038B2 (en) 2002-02-14 2005-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gap gauge
US7351291B2 (en) 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7007919B2 (en) 2003-04-17 2006-03-07 Applied Materials, Inc. Slit valve method and apparatus
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
JP2006066678A (ja) * 2004-08-27 2006-03-09 Seiko Epson Corp 半導体製造装置、半導体製造装置のメンテナンス方法、及び半導体装置の製造方法
US20060054090A1 (en) 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
JPWO2007018139A1 (ja) 2005-08-10 2009-02-19 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
US7972470B2 (en) 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US20090211707A1 (en) 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US20100248397A1 (en) 2009-03-26 2010-09-30 Tokyo Electron Limited High temperature susceptor having improved processing uniformity
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
KR101693673B1 (ko) 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
KR20120001661U (ko) 2010-08-30 2012-03-08 주식회사 케이씨텍 서셉터 및 그를 구비한 원자층 증착 장치
JP5524139B2 (ja) * 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
KR101134277B1 (ko) * 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
US20120225206A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225207A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20130269599A1 (en) 2012-04-13 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for Continuous Pressure Control Processing
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
KR20150130524A (ko) * 2013-03-15 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
JP2014003312A (ja) * 2013-08-05 2014-01-09 Nikon Corp 基板接合装置および基板接合方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4723084A (en) * 1986-11-13 1988-02-02 General Electric Company Light conductor brush wear detector assembly
JPH065486A (ja) * 1992-06-22 1994-01-14 Dainippon Screen Mfg Co Ltd 近接露光装置のギャップセンサ
US5373153A (en) * 1993-01-04 1994-12-13 Motorola, Inc. Optoelectronic tilt detector having tapered floors extending in same direction
CN101919041A (zh) * 2008-01-16 2010-12-15 索绍股份有限公司 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
CN102077330A (zh) * 2008-07-11 2011-05-25 应用材料股份有限公司 具有喷洒头的快速热处理腔室
CN103534799A (zh) * 2011-06-02 2014-01-22 应用材料公司 用于使用电容式传感器放置基板的设备和方法

Also Published As

Publication number Publication date
JP6412983B2 (ja) 2018-10-24
WO2016118572A1 (en) 2016-07-28
CN107112268A (zh) 2017-08-29
KR102484314B1 (ko) 2023-01-02
TW201629264A (zh) 2016-08-16
US9663859B2 (en) 2017-05-30
KR20160090767A (ko) 2016-08-01
US20170261312A1 (en) 2017-09-14
JP2017216458A (ja) 2017-12-07
US10197385B2 (en) 2019-02-05
JP2016148107A (ja) 2016-08-18
US20160215396A1 (en) 2016-07-28

Similar Documents

Publication Publication Date Title
CN107112268B (zh) 用于间隙检测的智能止动器和控制机制
US11430680B2 (en) Position and temperature monitoring of ALD platen susceptor
KR102567811B1 (ko) 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
US8225683B2 (en) Wafer bow metrology arrangements and methods thereof
KR101312789B1 (ko) 웨이퍼의 위치 결정 방법
US20120304928A1 (en) Apparatus and methods for positioning a substrate using capacitive sensors
US11131025B2 (en) Wireless camera wafer for vacuum chamber diagnostics
US20210340663A1 (en) Apparatus for processing a substrate, system for processing a substrate, and methods therefor
CN105810623B (zh) 基板尺度的掩模对准
US20220341722A1 (en) Digital holography for alignment in layer deposition
US7651873B1 (en) Method relating to the accurate positioning of a semiconductor wafer
JP2022130533A (ja) 調整可能/交換可能なエッジ結合リングのための検出システム

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant