CN107017290B - 栅极结构、半导体器件以及形成半导体器件的方法 - Google Patents

栅极结构、半导体器件以及形成半导体器件的方法 Download PDF

Info

Publication number
CN107017290B
CN107017290B CN201610903298.6A CN201610903298A CN107017290B CN 107017290 B CN107017290 B CN 107017290B CN 201610903298 A CN201610903298 A CN 201610903298A CN 107017290 B CN107017290 B CN 107017290B
Authority
CN
China
Prior art keywords
doped
stack
oxide layer
gate
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610903298.6A
Other languages
English (en)
Other versions
CN107017290A (zh
Inventor
蔡俊雄
游国丰
詹前泰
方子韦
陈科维
杨怀德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107017290A publication Critical patent/CN107017290A/zh
Application granted granted Critical
Publication of CN107017290B publication Critical patent/CN107017290B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的实施例提供了一种栅极结构、一种半导体器件以及形成半导体器件的方法。在各个实施例中,栅极结构包括栅极堆叠件和位于栅极堆叠件的侧壁上面的掺杂的间隔件。栅极堆叠件包含掺杂的功函数金属(WFM)堆叠件和位于掺杂的WFM堆叠件上面的金属栅电极。

Description

栅极结构、半导体器件以及形成半导体器件的方法
技术领域
本发明的实施例涉及半导体领域,更具体地涉及栅极结构、半导体器件以及形成半导体器件的方法。
背景技术
半导体工业已经经历了指数增长,朝着更高的密度和器件性能以及更低的成本的目标不断发展。除了诸如金属氧化物半导体场效应晶体管(MOSFET)的传统的平面晶体管之外,已经开发了诸如鳍状场效应晶体管(FinFET)的各种非平面晶体管或三维(3D)晶体管,以实现更高的器件密度以及优化器件功效。平面和3D FET两者的制造都专注于尺寸按比例缩小以增加半导体器件的封装密度。
随着对于平面和3D FET的高密度集成的增强的需求,迫切需要不断完善FinFET的制造方法,以获得更加加强的半导体结构。
发明内容
本发明的实施例提供了一种栅极结构,包括:栅极堆叠件,包括:掺杂的功函数金属(WFM)堆叠件;和金属栅电极,位于所述掺杂的功函数金属堆叠件上面;以及掺杂的间隔件,位于所述栅极堆叠件的侧壁上面。
本发明的实施例还提供了一种半导体器件,包括:衬底,具有源极区域和漏极区域;栅极堆叠件,位于介于所述源极区域和所述漏极区域之间的衬底上面,所述栅极堆叠件包括:掺杂的栅极氧化物层;掺杂的功函数金属(WFM)堆叠件,位于所述掺杂的栅极氧化物层上面;和金属栅电极,位于所述掺杂的功函数金属堆叠件上面;掺杂的氧化物层,位于所述衬底的表面上面;以及掺杂的间隔件,位于所述掺杂的氧化物层上面并且位于所述栅极堆叠件的侧壁上面。
本发明的实施例还提供了一种形成半导体器件的方法,所述方法包括:在衬底上面形成氧化物层,所述衬底具有源极区域和漏极区域;形成第一栅极堆叠件和间隔件,其中,所述第一栅极堆叠件位于介于所述源极区域和所述漏极区域之间的衬底上面,并且所述间隔件位于所述第一栅极堆叠件的侧壁上面;掺杂所述氧化物层和所述间隔件,以形成掺杂的氧化物层和掺杂的间隔件;在所述衬底上面并且在所述掺杂的间隔件之间形成功函数金属(WFM)堆叠件;热处理所述掺杂的间隔件和所述掺杂的氧化物层,以形成掺杂的功函数金属堆叠件;以及在所述掺杂的功函数金属堆叠件上面形成金属栅电极,以形成第二栅极堆叠件。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据一些实施例的半导体器件的截面图。
图2是根据一些其他实施例的另一半导体器件的截面图。
图3是根据又一些实施例的又一半导体器件的截面图。
图4是根据一些实施例的形成半导体器件的工艺流程。
图5A至图5F是根据一些实施例的形成半导体器件的方法的中间阶段的截面图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
除非上下文另外清楚规定,单数形式“一”、“一个”和“所述”包括复数所指。因此,除非上下文另外清楚地指示,例如,关于形貌(topography)区域包括具有两个或多个这种形貌区域的方面。此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作过程中的不同方位。装置可以以其他方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
虽然通过参考半导体结构的形成来解释本发明,但是应该理解,本发明等同应用与任何制造工艺,其中可以有利地形成半导体结构。
如前所述,制造具有按比例缩小的尺寸的MOSFET或FinFET中的栅极结构越来越具有挑战性。在形成栅极结构的工艺中,第一步是为了形成由多晶硅制成的伪栅极,之后形成位于伪栅极的侧壁上的一对间隔件。然后,去除伪栅极以留下间隔、并且促进将要被填充在间隔中的电极、功函数金属(WFM)堆叠件和下面的栅极氧化物层的填充、以及形成栅极结构。
然而,随着MOSFET或FinFET的尺寸的按比例缩小,栅极堆叠件的宽度或间隔件之间的距离不断缩小,这不仅使得在去除伪栅极之后难以通过栅极填充窗将栅极材料填充在间隔件之间的间隔中,而且管型(casts)不利地影响MOSFET或FinFET的性能。由于栅极堆叠件的变窄的宽度,所以源极和漏极区域之间的结也变短了。变短的结导致缩短的电子沟道。
缩短的沟道导致有限的亚阈值斜率,影响阈值电压,并且因此在源极和漏极之间的电压差变得显著时导致来自源极区域的电子隧穿至漏极区域。换句话说,从漏极区域至源极区域的截止状态的泄漏电流增加,这也被称为漏极感应势垒降低(drain inductionbarrier lower,DIBL)。
除了DIBL之外,短沟道还诱发金属栅极和源极/漏极区域之间的短路,这也有助于泄漏电流。短沟道的以上影响可以统称为短沟道效应(SCE),这是有关半导体器件的性能的主要问题。
虽然通过选择性地外延硅生长使源极/漏极(S/D)区域凸起来减少电流泄漏,但是仍存在诸如S/D区域的电阻的其他缺陷。然而,S/D区域的掺杂可以改善这些缺陷,掺杂S/D区域中的需要的热工艺不期望地增加了掺杂剂的横向扩散,从而增加了栅极至漏极重叠电容(gate to drain overlap capacitance)。而且,为了补偿由于热工艺导致的S/D区域中的掺杂剂损失,可以采用S/D区域中的更高的注入剂量。尽管如此,S/D区域中的掺杂剂的增加的浓度不仅带来更深的S/D结深度(Xj)。结深度越深,短沟道效应越显著。
虽然超浅结(USJ)的形成可以抵消增加的结深度的影响,但是需要更高的掺杂剂注入浓度来避免较浅的结深度处的寄生电阻的增加。形成超浅结所需的掺杂剂注入是困难的,并且对通过形成无定形或无序晶格区域来对衬底造成损害,从而不能解决问题。因此,迫切需要对利用按比例缩小的尺寸制造MOSFET或FinFET的方法的不断改进以克服短沟道效应。
为了解决上述问题,本发明提供一种栅极结构、一种半导体器件和用于形成半导体器件的方法,其包括掺杂的间隔件和掺杂的氧化物层以克服MOSFET或FinFET中的短沟道效应。通过这种方式,尽管MOSFET或FinFET尺寸的按比例缩小,但是可以提高半导体器件的封装密度和性能。
参考图1,示出了根据一些实施例的半导体器件100的示例性区域布置的截面图。半导体器件100包括栅极结构200,在一些实施例中该半导体器件还称为场效应晶体管(FET)。
在各个实施例中,栅极结构200包括栅极堆叠件210和位于栅极堆叠件210的侧壁上面的间隔件220'。栅极堆叠件210可以包括栅电极、位于栅电极下面的功函数金属(WFM)堆叠件212'、以及位于功函数金属(WFM)堆叠件212'下面的栅极氧化物层215'。在一些实施例中,可以通过包括沉积、光刻图案化和蚀刻的任何适当的方法来形成栅极堆叠件210。沉积方法包括化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)或它们的组合。
在各个实施例中,电流可以施加至栅电极上。一旦来自栅电极的输入电流达到阈值电压(Vt),负电荷将可以相应地累积在栅极氧化物层215'下方,并且可以在栅极结构200下方感应介于源极区域110和漏极区域111(也称为源极/漏极(S/D)区域)之间的电子沟道。
在一些实施例中,栅极结构200的阈值电压主要由功函数金属(WFM)堆叠件212'确定。功函数指示在邻近的电场作用下从固体表面移除电子至闭合位置(close position)的最小热力学功或能量。因此,功函数金属堆叠件212'通过影响栅极堆叠件210下面的电子的自由能量来调制阈值电压调谐(tuning)。
在一些实施例中,栅电极可以由多晶硅(poly-Si)或多晶硅锗(poly-SiGe)初始形成。然而,如果poly-Si栅电极与由二氧化硅(SiO2)制成的栅极氧化物结合,那么可以诱使阈值电压不稳定并且诱发泄漏电流。因此,可以最终由金属材料来替换栅电极以改善阈值电压调制和半导体器件性能。在各个实施例中,用于金属栅电极216的材料包括钽(Ta)、氮化钽(TaN)、铌(Nb)、碳化钽(TaC)、钨(W)、氮化钨(WN)、碳化钨(WC)、以及任何合适的金属或它们的组合。
另外,为了完全克服以上问题,需要同时完成金属栅电极216的引入和具有高介电常数(高K)的栅极氧化物层215'的引入。在各个实施例中,由于镧(La)是强电正性金属,所以诸如氧化镧(La2O3)的氧化物适合于N型FET(nFET)。另一方面,由于防止外在功函数偏移的能力,所以氧化铝(Al2O3)适合于P型FET(pFET)。通常,栅极氧化物层215'可以由以下介电材料制成,诸如:氧化铝(Al2O3)、氧化镧(La2O3)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪(HfO2)、二氧化硅(SiO2)、氧化铪硅(HfSiO)、氧化锆(ZrO2)、以及任何合适的金属或它们的组合。
由于从低k/poly-Si栅极至高k/金属栅极的转变,需要相应地修改功函数金属堆叠件212'以满足栅极结构200的阈值电压要求。由于上部中间禁带(upper mid-gap)功函数、突出的热稳定性和独特的扩散特性,所以氮化钛(TiN)用作WFM的合适的候选者。对于二维MOSFET和三维FinFET的栅极堆叠件增强而言,为了获得期望的有效功函数(EWF)而对TiN的功函数进行的修改是至关重要的。除了增加TiN层的厚度以提高WFM堆叠件中的EWF之外,引入诸如氮化钛硅(TiSiN)层的另一层高k功函数金属还可以微调EWF。
因此,在各个实施例中,WFM堆叠件212'包括TiN层213'和位于TiN层213'下面的TiSiN层214'。由于TiSiN层214'和栅极氧化物层215'两者都是无定形的并且具有高介电常数(通常高于二氧化硅的介电常数或3.9),所以TiSiN层214'可以用于与下面的栅极氧化物层215'协调以提高栅极结构200的性能。
就长沟道晶体管而言,阈值电压由施加至源极/漏极区域之间的沟道的守恒电荷以及包括TiN层和TiSiN层的功函数金属(WFM)的特点确定。然而,随着半导体器件的按比例缩小,栅极结构200的宽度和栅极氧化物层215'的厚度以及S/D区域之间的更近的结(closer junctions)不断减小,从而导致短沟道晶体管。就短沟道晶体管而言,随着沟道长度减少,阈值电压出现衰减,并且因此阈值电压不仅受到WFM堆叠件212'影响,还受到更近的结的影响。
为了抵消短沟道晶体管中的短沟道效应(SCE)和热载荷效应(HCE),S/D区域的位于栅极结构200下面的部分被轻掺杂,形成轻掺杂漏极/源极(LDD)区域112,还称为源极/漏极延伸(SDE)区域。然而,仅掺杂LDD区域112显示出对于克服SCE的有限的影响以及对于短沟道器件中的阈值电压的控制的更加有限的作用。
还通过掺杂WFM堆叠件212'来改善阈值电压的调制。对于N型晶体管(nFET)来说,如果通过N型掺杂剂掺杂WFM堆叠件212'中的TiSiN层214'和TiN层213',那么可以降低阈值电压。相反地,如果通过P型掺杂剂掺杂WFM堆叠件212'中的TiSiN层214'和TiN层213',那么可以提高阈值电压。对于P型晶体管(pFET)来说,阈值电压的调制是相反的。为了实现WFM堆叠件212'的掺杂,需要额外的层来用作栅极结构200中的掺杂剂施主(donors)。
根据各个实施例,形成位于栅极结构200的侧壁上面的间隔件220'。高浓度的掺杂剂密封在间隔件220'中以形成掺杂的间隔件220,从而用作WFM堆叠件212'的掺杂剂施主。如果栅极堆叠件210和栅极堆叠件210下面的衬底102形成N型晶体管,那么掺杂的间隔件220掺杂有硼(B)或其他P型掺杂剂,以增加阈值电压并且减小由SCE导致的泄漏电流。如果栅极堆叠件210和栅极堆叠件210下面的衬底102形成P型晶体管,那么掺杂的间隔件220掺杂有砷(As)或其他N型掺杂剂,以增加阈值电压并且抵消由SCE导致的泄漏电流。
掺杂的间隔件220的掺杂浓度为大约5×1020原子/立方厘米至大约5×1021原子/立方厘米,以提供至WFM堆叠件212'中的足够的掺杂剂。在一些实施例中,掺杂的间隔件220由以下介电材料制成,包括氮化硅(SiN)、氮氧化硅(SiON)、碳化硅(SiC)、碳氧化硅(SiOC)、碳氮氧化硅(SiCON)、氟氧化硅(SiOF)或它们的组合。
在一些实施例中,通过热工艺的集合来促使掺杂剂的固态扩散(SFD)从掺杂的间隔件220至WFM堆叠件212',导致包括掺杂的TiSiN层214和掺杂的TiN层213的掺杂的功函数金属(WFM)堆叠件212和掺杂的栅极氧化物层215。由于掺杂的WFM堆叠件212中的掺杂剂与掺杂的氧化物层300中的掺杂剂和掺杂的间隔件220中的掺杂剂相同,所以NMOS和N型FinFET中的掺杂剂是硼,而PMOS和P型FinFET中的掺杂剂是砷。在一些实施例中,在比掺杂的间隔件220的浓度低或由于扩散梯度而在低于大约5×1020原子/立方厘米至大约5×1021原子/立方厘米的浓度下对掺杂的WFM堆叠件212进行掺杂。
取决于栅极堆叠件210下面的衬底102的轮廓,可以在不同类型的FET中出现从掺杂的间隔件220至WFM堆叠件中的掺杂剂的固态扩散(SFD)。在一些实施例中,衬底102包含源极区域110和漏极区域111,其可以一起称为源极/漏极(S/D)区域。衬底102可以嵌入基底层(未示出)中,并且因此,栅极堆叠件210可以位于基底层以及衬底102的介于源极区域110和漏极区域111之间的顶面上方,这形成了平面集成电路结构,还称为MOSFET。
在一些其他的实施例中,具有源极区域110和漏极区域111的衬底102是位于基底层上面的凸起的区域,从而形成三维鳍结构。栅极堆叠件210位于基底层和一个或多个凸起的鳍结构上方,从而形成三维集成电路结构,还称为FinFET。
在一些实施例中,衬底102的材料包括:硅;硅锗;碳化硅;砷化镓;磷化镓;磷化铟;砷化铟;锑化铟;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP的合金半导体;或它们的组合。在各个实施例中,可以通过邻近S/D区域的浅沟槽隔离(STI)区域来隔离不同组S/D区域。STI区域可以由介电材料组成,诸如氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃和它们的组合。
可以通过诸如光刻和蚀刻的任何合适的工艺制造衬底102。光刻可以包括:在衬底102上方形成(如,旋涂)光刻胶层(未示出)、软烘、掩模对准、通过曝光图案化光刻胶层、操作曝光后烘焙、以及显影图案以形成在执行蚀刻以形成衬底102时用作衬底的保护部件的光刻胶掩模。
在一些实施例中,为了进一步完成WFM堆叠件212',氧化物层300'可以形成在衬底102上以覆盖衬底102的暴露的表面。换句话说,氧化物层300'形成在衬底102的表面上以围绕栅极堆叠件210或者形成在衬底102的未与栅极堆叠件210接触的表面上。还为了用作WFM堆叠件212'的掺杂剂施主,氧化物层300'还掺杂有高浓度的掺杂剂以形成掺杂的氧化物层300。当栅极堆叠件210和位于栅极堆叠件210下面的衬底102形成诸如N型MOSFET(NMOS)或N型FinFET的nFET时,掺杂的氧化物层300掺杂有硼(B)或其他P型掺杂剂。当栅极堆叠件210和位于栅极堆叠件210下面的衬底102形成诸如P型MOSFET(PMOS)或P型FinFET的pFET时,掺杂的氧化物层300掺杂有砷(As)或其他N型掺杂剂。
掺杂的氧化物层300的掺杂浓度为大约5×1020原子/立方厘米至大约5×1021原子/立方厘米,以提供至WFM堆叠件212'中的足够的掺杂剂并且有助于掺杂的WFM堆叠件212的形成。在一些实施例中,掺杂的氧化物层300由以下介电材料制成,诸如:氧化铝(Al2O3)、氧化镧(La2O3)、氧化铝镧(AlLaO3)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪(HfO2)、二氧化硅(SiO2)、氧化铪硅(HfSiO)和氧化锆(ZrO2)。
接下来参考图2,示出了根据一些实施例的另一半导体器件的截面图。衬底102包含源极区域110、漏极区域111、一组LDD区域112和一组STI区域104。在一些实施例中,栅极结构200位于源极区域110和漏极区域111之间的衬底102上面。更具体地,栅极结构200位于介于两个LDD区域112之间的衬底102上面。掺杂的氧化物层300形成在衬底102上以围绕栅极结构200,更具体地介于两个STI区域104之间。
在各个实施例中,栅极堆叠件210包括金属栅电极216、位于金属栅电极216下面的掺杂的功函数金属(WFM)堆叠件212、以及位于掺杂的功函数金属(WFM)堆叠件212下面的掺杂的栅极氧化物层215。在一些实施例中,掺杂的间隔件220仅位于栅极堆叠件210的侧壁和掺杂的氧化物层300的邻近栅极堆叠件210的侧壁的部分上面。由于掺杂的氧化物层300和掺杂的间隔件220两者可以用作掺杂剂施主,并且掺杂的间隔件220的位于栅极结构200的侧壁和掺杂的氧化物层300上面的部分可以完全覆盖WFM堆叠件212',所以掺杂的间隔件220的位于掺杂的氧化物层300上面的部分成为可选的,这是因为该部分未与WFM堆叠件212'直接接触。换句话说,在一些实施例中,掺杂的氧化物层300未被掺杂的间隔件220覆盖,但是掺杂的氧化物层300邻近栅极堆叠件210。换句话说,掺杂的间隔件220包括位于栅极堆叠件210的侧壁和邻近栅极堆叠件210的掺杂的氧化物层300上面的部分、以及位于不邻近栅极堆叠件210的掺杂的氧化物层300上面的部分。由于掺杂的间隔件220的位于不邻近栅极堆叠件210的掺杂的氧化物层300上面的部分与WFM堆叠件212'不接触,所以该部分不能用作掺杂剂施主,并且因此,该部分是可选的。在各个实施例中,邻近栅极堆叠件210的掺杂的氧化物层300位于LDD区域112上面,而不邻近栅极堆叠件210的掺杂的氧化物层300位于S/D区域和STI区域104上面。
参考图3,示出了根据一些实施例的又一半导体器件的截面图。衬底102包括源极区域110、漏极区域111、介于源极/漏极区域之间的LDD区域112、以及邻近源极/漏极区域的一组STI区域104。栅极结构200位于源极区域110和漏极区域111之间的衬底102上面。更具体地,栅极结构200形成在介于两个LDD区域112之间的衬底102上方。
在一些实施例中,掺杂的间隔件220位于栅极堆叠件210的侧壁和介于两个STI区域104之间的衬底102上面。由于掺杂的间隔件220和掺杂的氧化物层300两者可以用作掺杂剂施主,并且掺杂的栅极氧化物层215的介电功能可以代替掺杂的氧化物层300的介电功能,所以掺杂的氧化物层300成为可选的。换句话说,一旦掺杂的间隔件220位于衬底102上面,就可以利用掺杂的间隔件220来代替掺杂的氧化物层300的掺杂功能,并且因此掺杂的氧化物层300可以是可选的。换句话说,在一些其他的实施例中,半导体器件100不包括掺杂的氧化物层300,并且掺杂的间隔件220位于栅极堆叠件210的侧壁和衬底102的表面两者上面。(见图3)。
接下来参考图4,示出了根据一些实施例的形成半导体器件的工艺流程图。在形成半导体器件100中,其中提供具有源极区域110和漏极区域111的衬底102,并且执行在衬底102上面形成氧化物层300'的过程402。在适当地去除氧化物层300'的一部分之后,第一栅极堆叠件211可以形成在介于源极区域110和漏极区域111之间的衬底102上方,并且间隔件220'可以形成在第一栅极堆叠件211的侧壁上方,以上都包括在过程404中。
在各个实施例中,在形成氧化物层300'、第一栅极堆叠件211和间隔件220'之后,然后进行掺杂氧化物层300'和间隔件220'的过程406,以将氧化物层300'和间隔件220'转变成掺杂剂施主。在掺杂工艺之后,执行将WFM堆叠件212'形成为掺杂剂受主(acceptor)的过程408。然后,执行对掺杂的氧化物层300和掺杂的间隔件220进行热处理的过程410,以将掺杂剂的固态扩散(SPD)从掺杂的氧化物层300和掺杂的间隔件220驱动至WFM堆叠件212'。热扩散工艺之后是在掺杂的WFM堆叠件212上面形成金属栅电极216的过程412,以形成第二栅极堆叠件。
参考图5A,提供衬底102,该衬底具有源极区域110、漏极区域111、邻近源极区域110和漏极区域111的内侧壁的一对轻掺杂源极/漏极(LDD)区域112、以及邻近源极区域110和漏极区域111的外侧壁的一对浅沟槽隔离(STI)区域。形成半导体器件100的第一步是在衬底102上方形成氧化物层300'。形成方法包括化学汽相沉积(CVD)、等离子体增强的CVD(PECVD)、原子层CVD(ALCVD)、低压CVD(LPCVD)、任何其他适当的沉积方法和它们的组合。
参考图5B,氧化物层300'可以经受光刻以蚀刻掉氧化物层300'的位于介于源极区域110和漏极区域111之间的衬底102上面的部分、并且留下用于将要在介于源极区域110和漏极区域111之间的衬底102上形成第一栅极堆叠件211的空间。光刻可以包括:在氧化物层300'上方形成光刻胶层(未示出)、掩模对准、通过曝光图案化光刻胶层、以及显影图案以形成光刻胶掩模。在执行蚀刻以去除氧化物层300'的位于介于源极区域110和漏极区域111之间的衬底102上面的部分时,光刻胶掩模用作氧化物层300'的保护部件。
在氧化物层300'的蚀刻之后,暴露衬底102的介于源极区域110和漏极区域111之间的部分,第一栅极堆叠件211可以形成在该部分上。第一栅极堆叠件211还可以称为伪栅极堆叠件,其可以由诸如多晶硅(poly-Si)、多晶硅锗(poly-SiGe)、氮化硅(SiN)和它们的组合的材料制成。第一栅极堆叠件211的形成之后,间隔件220'可以形成在为沿着第一栅极堆叠件211的侧壁并且位于氧化物层300'的表面上面。形成方法包括化学汽相沉积(CVD)、等离子体增强的CVD(PECVD)、原子层CVD(ALCVD)、低压CVD(LPCVD)、任何其他适当的沉积方法和它们的组合。
接下来参考图5C,间隔件220'可以在大约5×1020原子/立方厘米至大约5×1021原子/立方厘米的浓度下掺杂有诸如硼(B)或砷(As)的掺杂剂,以形成掺杂的间隔件220并且用作WFM堆叠件的掺杂剂施主。可以通过适当的掺杂方法来掺杂间隔件220',包括通过原子层沉积(ALD)的原位掺杂或通过等离子体沉积或离子金属等离子体(IMP)沉积的非原位掺杂。
在一些实施例中,氧化物层300'可以在大约5×1020原子/立方厘米至大约5×1021原子/立方厘米的浓度下掺杂有诸如硼(B)或砷(As)的掺杂剂,以形成作为WFM堆叠件的掺杂剂施主的掺杂的氧化物层300。可以通过适当的掺杂方法来掺杂氧化物层300',包括通过等离子体沉积或离子金属等离子体(IMP)沉积的非原位掺杂。
参考图5D,可以去除第一栅极堆叠件211以暴露介于S/D区域之间的衬底并且有助于在衬底的暴露表面上形成WFM堆叠件212'。在形成WFM堆叠件212'之前,进行外延工艺或外延生长过程,以促进无定形(amorphous)栅极氧化物层215'的形成。利用高介电常数或高于3.9的介电常数,栅极氧化物层215'用作层间介电材料以调制WFM堆叠件的有效功函数。在各个实施例中,通过首先沉积TiSiN层214'、之后通过在TiSiN层214'上沉积TiN层213',在栅极氧化物层215'上形成WFM堆叠件212'。
接下来参考图5E,通过热工艺执行WFM堆叠件212'和栅极氧化物层215'的掺杂。热工艺还可以划分为两个阶段:后金属退火(post-metal annealing,PMA)和后盖退火(post-cap annealing,PCA)。在形成WFM堆叠件212'之后直接进行后金属退火(PMA)以有助于从掺杂的间隔件220和掺杂的氧化物层300至WFM堆叠件212'的TiN层213'中的掺杂剂的固态扩散。在各个实施例中,在大约750℃至大约900℃的温度下执行后金属退火(PMA)并且持续大约1秒至大约30秒以将掺杂剂迅速地驱动至WFM堆叠件212'中,同时防止来自LDD区域112的掺杂剂的不期望的外扩散。
在PMA之后,可以在TiN层213'上沉积通常由poly-Si制成的伪栅电极(还称为Si盖,未示出),以用于进一步的热工艺。在一些实施例中,在形成Si盖之后,随后进行后盖退火(PCA)以将来自掺杂的间隔件220和掺杂的氧化物层300的掺杂剂进一步驱动至TiN层213'和TiSiN层214'中。在各个实施例中,在大约800℃至大约1000℃的温度下执行后盖退火(PCA)并且持续大约1秒至大约10秒以将掺杂剂迅速地驱动至WFM堆叠件212'中,同时防止来自其他区域的掺杂剂的不期望的外扩散。
PMA和PCA的进行不仅形成包括掺杂的TiN层213和掺杂的TiSiN层214的掺杂的WFM堆叠件212,还形成了位于掺杂的WFM堆叠件212下面的掺杂的栅极氧化物层215。在形成掺杂的WFM堆叠件212之后,可以去除Si盖以暴露掺杂的WFM堆叠件212的顶面。
参考图5F,在通过诸如反应离子蚀刻(RIF)或高密度等离子体(HDP)蚀刻的适当的方法去除Si盖之后,金属栅电极216可以沉积在掺杂的TiN层213上以形成第二栅极堆叠件(还称为栅极堆叠件210),该第二栅极堆叠件是高k/金属栅极结构的一部分。在一些实施例中,通过利用金属栅电极216来代替Si盖,可以实现掺杂的WFM堆叠件212中的功函数的改善以及掺杂的WFM堆叠件212、金属栅电极216和掺杂的栅极氧化物层300之间的协调。
根据以上所述以及各个实施例,使用掺杂的间隔件220和掺杂的氧化物层300来对WFM堆叠件212'进行热掺杂,与此同时,采用高k栅极氧化物层215'和金属栅电极216可以微调栅极结构200的阈值电压、减少由短沟道效应导致的泄漏电流、以及增强半导体器件100的性能以及高密度进程。
根据一些实施例,栅极结构200包括栅极堆叠件210和位于栅极堆叠件210的侧壁上面的掺杂的间隔件220。栅极堆叠件210包含掺杂的功函数金属(WFM)堆叠件212和位于掺杂的WFM堆叠件212上面的金属栅电极216。
根据一些实施例,半导体器件100包括衬底102、栅极堆叠件210、掺杂的间隔件220和掺杂的氧化物层300。衬底102具有源极区域110和漏极区域111、以及位于衬底102上面并且介于源极区域110和漏极区域111之间的栅极堆叠件210。栅极堆叠件210包括掺杂的栅极氧化物层215、位于掺杂的栅极氧化物层215上面的掺杂的功函数金属(WFM)堆叠件212、以及位于掺杂的WFM堆叠件212上面的金属栅电极216。掺杂的氧化物层300位于衬底102的表面上面。掺杂的间隔件220位于掺杂的氧化物层300上面并且位于栅极堆叠件210的侧壁上面。
根据一些实施例,形成半导体器件100的方法包括:在具有源极区域110和漏极区域111的衬底102上面形成氧化物层300'(过程402);形成第一栅极堆叠件211和间隔件220'(过程404);掺杂氧化物层300'和间隔件220'以形成掺杂的氧化物层300和掺杂的间隔件220(过程406);在衬底上面并且在掺杂的间隔件220之间形成功函数金属(WFM)堆叠件212'(过程408);热处理掺杂的间隔件220和掺杂的氧化物层300以形成掺杂的WFM堆叠件212(过程410);以及在掺杂的WFM堆叠件212上面形成金属栅电极216以形成第二栅极堆叠件210(过程412)。在形成第一栅极堆叠件211和间隔件220'的过程404中,第一栅极堆叠件211位于介于源极区域110和漏极区域111之间的衬底102上面,并且间隔件220'位于第一栅极堆叠件211的侧壁上面。
本发明的实施例提供了一种栅极结构,包括:栅极堆叠件,包括:掺杂的功函数金属(WFM)堆叠件;和金属栅电极,位于所述掺杂的功函数金属堆叠件上面;以及掺杂的间隔件,位于所述栅极堆叠件的侧壁上面。
根据本发明的一个实施例,其中,所述掺杂的功函数金属堆叠件掺杂有硼(B)或砷(As)。
根据本发明的一个实施例,其中,在5×1020原子/立方厘米至5×1021原子/立方厘米的浓度下掺杂所述掺杂的间隔件。
根据本发明的一个实施例,其中,所述掺杂的功函数金属堆叠件包括掺杂的TiSiN层和位于所述掺杂的TiSiN层上面的掺杂的TiN层。
根据本发明的一个实施例,其中,所述栅极堆叠件包括位于所述掺杂的TiSiN层下面的掺杂的栅极氧化物层,并且所述掺杂的栅极氧化物层和所述掺杂的TiSiN层是无定形的。
根据本发明的一个实施例,其中,所述掺杂的间隔件为氮化硅(SiN)、氮氧化硅(SiON)、碳化硅(SiC)、碳氧化硅(SiOC)、碳氮氧化硅(SiCON)、氟氧化硅(SiOF)或它们的组合。
根据本发明的一个实施例,其中,所述金属栅电极为Cu、Al、Ni、Co、Nb、Ta、TaN、TaC、W、WN、WC或它们的组合。
本发明的实施例还提供了一种半导体器件,包括:衬底,具有源极区域和漏极区域;栅极堆叠件,位于介于所述源极区域和所述漏极区域之间的衬底上面,所述栅极堆叠件包括:掺杂的栅极氧化物层;掺杂的功函数金属(WFM)堆叠件,位于所述掺杂的栅极氧化物层上面;和金属栅电极,位于所述掺杂的功函数金属堆叠件上面;掺杂的氧化物层,位于所述衬底的表面上面;以及掺杂的间隔件,位于所述掺杂的氧化物层上面并且位于所述栅极堆叠件的侧壁上面。
根据本发明的一个实施例,其中,所述掺杂的功函数金属堆叠件、所述掺杂的间隔件和所述掺杂的氧化物层是硼掺杂的。
根据本发明的一个实施例,其中,所述掺杂的功函数金属堆叠件、所述掺杂的间隔件和所述掺杂的氧化物层是砷掺杂的。
根据本发明的一个实施例,其中,所述衬底是被所述栅极堆叠件跨越的凸起的鳍结构。
根据本发明的一个实施例,其中,所述掺杂的氧化物层为氧化铝(Al2O3)、氧化镧(La2O3)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪(HfO2)、二氧化硅(SiO2)、氧化锆(ZrO2)或它们的组合。
根据本发明的一个实施例,其中,所述掺杂的间隔件仅位于所述栅极堆叠件的侧壁和所述掺杂的氧化物层的邻近所述栅极堆叠件的侧壁的部分上面。
本发明的实施例还提供了一种形成半导体器件的方法,所述方法包括:在衬底上面形成氧化物层,所述衬底具有源极区域和漏极区域;形成第一栅极堆叠件和间隔件,其中,所述第一栅极堆叠件位于介于所述源极区域和所述漏极区域之间的衬底上面,并且所述间隔件位于所述第一栅极堆叠件的侧壁上面;掺杂所述氧化物层和所述间隔件,以形成掺杂的氧化物层和掺杂的间隔件;在所述衬底上面并且在所述掺杂的间隔件之间形成功函数金属(WFM)堆叠件;热处理所述掺杂的间隔件和所述掺杂的氧化物层,以形成掺杂的功函数金属堆叠件;以及在所述掺杂的功函数金属堆叠件上面形成金属栅电极,以形成第二栅极堆叠件。
根据本发明的一个实施例,方法还包括:在所述掺杂的氧化物层上面形成间隔件。
根据本发明的一个实施例,其中,热处理所述掺杂的氧化物层和所述掺杂的间隔件包括后金属退火(PMA)的工艺和后盖退火(PCA)的工艺。
根据本发明的一个实施例,其中,在750℃至900℃的温度下执行所述后金属退火(PMA)并且持续1秒至30秒。
根据本发明的一个实施例,其中,在800℃至1000℃的温度下执行所述后盖退火(PCA)并且持续1秒至10秒。
根据本发明的一个实施例,其中,掺杂所述氧化物层和所述间隔件包括利用原子层沉积(ALD)的间隔件的原位掺杂或利用等离子体沉积或离子金属等离子体(IMP)沉积的间隔件的非原位掺杂。
根据本发明的一个实施例,其中,掺杂所述氧化物层和所述间隔件包括利用等离子体沉积或离子金属等离子体(IMP)沉积的氧化物层的非原位掺杂。
以上论述了若干实施例的部件,使得本领域的技术人员可以更好地理解本发明的各个方面。本领域技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他的处理和结构以用于达到与本发明所介绍实施例相同的目的和/或实现相同优点。本领域技术人员也应该意识到,这些等效结构并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (19)

1.一种栅极结构,包括:
栅极堆叠件,包括:
掺杂的功函数金属(WFM)堆叠件;和
金属栅电极,位于所述掺杂的功函数金属堆叠件上面;以及
掺杂的氧化物层,位于所述掺杂的功函数金属堆叠件的第一部分侧壁上面;
掺杂的间隔件,位于所述掺杂的氧化物层上面,与所述掺杂的氧化物层接触,并且位于所述掺杂的功函数金属堆叠件的第二部分侧壁上面,其中,所述掺杂的功函数金属堆叠件的掺杂剂浓度低于所述掺杂的间隔件的掺杂剂浓度。
2.根据权利要求1所述的栅极结构,其中,所述掺杂的功函数金属堆叠件掺杂有硼(B)或砷(As)。
3.根据权利要求1所述的栅极结构,其中,在5×1020原子/立方厘米至5×1021原子/立方厘米的浓度下掺杂所述掺杂的间隔件。
4.根据权利要求1所述的栅极结构,其中,所述掺杂的功函数金属堆叠件包括掺杂的TiSiN层和位于所述掺杂的TiSiN层上面的掺杂的TiN层。
5.根据权利要求4所述的栅极结构,其中,所述栅极堆叠件包括位于所述掺杂的TiSiN层下面的掺杂的栅极氧化物层,并且所述掺杂的栅极氧化物层和所述掺杂的TiSiN层是无定形的。
6.根据权利要求1所述的栅极结构,其中,所述掺杂的间隔件为氮化硅(SiN)、氮氧化硅(SiON)、碳化硅(SiC)、碳氧化硅(SiOC)、碳氮氧化硅(SiCON)、氟氧化硅(SiOF)或它们的组合。
7.根据权利要求1所述的栅极结构,其中,所述金属栅电极为Cu、Al、Ni、Co、Nb、Ta、TaN、TaC、W、WN、WC或它们的组合。
8.一种半导体器件,包括:
衬底,具有源极区域和漏极区域;
栅极堆叠件,位于介于所述源极区域和所述漏极区域之间的衬底上面,所述栅极堆叠件包括:
掺杂的栅极氧化物层;
掺杂的功函数金属(WFM)堆叠件,位于所述掺杂的栅极氧化物层上面;和
金属栅电极,位于所述掺杂的功函数金属堆叠件上面;
掺杂的氧化物层,位于所述衬底的表面上面,所述掺杂的氧化物层与所述掺杂的栅极氧化物层的侧壁和掺杂的功函数金属堆叠件的第一部分侧壁接触;以及
掺杂的间隔件,位于所述掺杂的氧化物层上面并且位于所述栅极堆叠件的侧壁上面,所述掺杂的间隔件与所述掺杂的功函数金属堆叠件的第二部分侧壁接触,其中,所述掺杂的功函数金属堆叠件的掺杂剂浓度低于所述掺杂的间隔件的掺杂剂浓度。
9.根据权利要求8所述的半导体器件,其中,所述掺杂的功函数金属堆叠件、所述掺杂的间隔件和所述掺杂的氧化物层是硼掺杂的。
10.根据权利要求8所述的半导体器件,其中,所述掺杂的功函数金属堆叠件、所述掺杂的间隔件和所述掺杂的氧化物层是砷掺杂的。
11.根据权利要求8所述的半导体器件,其中,所述衬底是被所述栅极堆叠件跨越的凸起的鳍结构。
12.根据权利要求8所述的半导体器件,其中,所述掺杂的氧化物层为氧化铝(Al2O3)、氧化镧(La2O3)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪(HfO2)、二氧化硅(SiO2)、氧化锆(ZrO2)或它们的组合。
13.根据权利要求8所述的半导体器件,其中,所述掺杂的间隔件仅位于所述栅极堆叠件的侧壁和所述掺杂的氧化物层的邻近所述栅极堆叠件的侧壁的部分上面。
14.一种形成半导体器件的方法,所述方法包括:
在衬底上面形成氧化物层,所述衬底具有源极区域和漏极区域;
形成第一栅极堆叠件和间隔件,其中,所述第一栅极堆叠件位于介于所述源极区域和所述漏极区域之间的衬底上面,
所述氧化物层位于所述第一栅极堆叠件的第一部分侧壁上面,
所述间隔件,位于所述氧化物层上面,与所述氧化物层接触,并且位于所述第一栅极堆叠件的第二部分侧壁上面;
掺杂所述氧化物层和所述间隔件,以形成掺杂的氧化物层和掺杂的间隔件;
在所述衬底上面并且在所述掺杂的间隔件之间形成功函数金属(WFM)堆叠件,其中,所述掺杂的氧化物层与所述功函数金属堆叠件的的第一部分侧壁接触;
热处理所述掺杂的间隔件和所述掺杂的氧化物层,以形成掺杂的功函数金属堆叠件,其中,所述掺杂的间隔件与所述掺杂的功函数金属堆叠件的第二部分侧壁接触,所述掺杂的功函数金属堆叠件的掺杂剂浓度低于所述掺杂的间隔件的掺杂剂浓度;以及
在所述掺杂的功函数金属堆叠件上面形成金属栅电极,以形成第二栅极堆叠件。
15.根据权利要求14所述的方法,其中,热处理所述掺杂的氧化物层和所述掺杂的间隔件包括后金属退火(PMA)的工艺和后盖退火(PCA)的工艺。
16.根据权利要求15所述的方法,其中,在750℃至900℃的温度下执行所述后金属退火(PMA)并且持续1秒至30秒。
17.根据权利要求15所述的方法,其中,在800℃至1000℃的温度下执行所述后盖退火(PCA)并且持续1秒至10秒。
18.根据权利要求14所述的方法,其中,掺杂所述氧化物层和所述间隔件包括利用原子层沉积(ALD)的间隔件的原位掺杂或利用等离子体沉积或离子金属等离子体(IMP)沉积的间隔件的非原位掺杂。
19.根据权利要求14所述的方法,其中,掺杂所述氧化物层和所述间隔件包括利用等离子体沉积或离子金属等离子体(IMP)沉积的氧化物层的非原位掺杂。
CN201610903298.6A 2015-10-20 2016-10-17 栅极结构、半导体器件以及形成半导体器件的方法 Active CN107017290B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562243941P 2015-10-20 2015-10-20
US62/243,941 2015-10-20
US15/062,062 US10141417B2 (en) 2015-10-20 2016-03-05 Gate structure, semiconductor device and the method of forming semiconductor device
US15/062,062 2016-03-05

Publications (2)

Publication Number Publication Date
CN107017290A CN107017290A (zh) 2017-08-04
CN107017290B true CN107017290B (zh) 2020-09-04

Family

ID=58523108

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610903298.6A Active CN107017290B (zh) 2015-10-20 2016-10-17 栅极结构、半导体器件以及形成半导体器件的方法

Country Status (4)

Country Link
US (3) US10141417B2 (zh)
KR (1) KR101991154B1 (zh)
CN (1) CN107017290B (zh)
TW (1) TWI646684B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN108281478B (zh) * 2017-01-06 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102341721B1 (ko) 2017-09-08 2021-12-23 삼성전자주식회사 반도체 소자
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10770354B2 (en) * 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US11011618B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit devices with gate seals
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR102495082B1 (ko) 2018-06-12 2023-02-01 삼성전자주식회사 반도체 장치
CN110970300B (zh) * 2018-09-29 2023-09-22 中芯国际集成电路制造(上海)有限公司 堆叠环栅鳍式场效应管及其形成方法
US10930778B2 (en) 2018-10-11 2021-02-23 International Business Machines Corporation Vertical transistor devices with composite high-K and low-K spacers with a controlled top junction
US11587791B2 (en) * 2018-10-23 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon intermixing layer for blocking diffusion
TWI817444B (zh) * 2022-02-09 2023-10-01 南亞科技股份有限公司 具有保護層的半導體元件

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5756383A (en) * 1996-12-23 1998-05-26 Advanced Micro Devices Method of manufacturing an active region of a semiconductor by diffusing a counterdopant out of a sidewall spacer
CN100355046C (zh) * 2002-03-26 2007-12-12 先进微装置公司 防止掺杂剂自源极/漏极延伸部向外扩散的方法
CN103311247A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3294041B2 (ja) * 1994-02-21 2002-06-17 株式会社東芝 半導体装置
US5811338A (en) * 1996-08-09 1998-09-22 Micron Technology, Inc. Method of making an asymmetric transistor
US6025232A (en) * 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
JP4540142B2 (ja) * 1999-01-19 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
FR2810161B1 (fr) * 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6627504B1 (en) * 2001-02-07 2003-09-30 Advanced Micro Devices, Inc. Stacked double sidewall spacer oxide over nitride
US6417056B1 (en) * 2001-10-18 2002-07-09 Chartered Semiconductor Manufacturing Ltd. Method to form low-overlap-capacitance transistors by forming microtrench at the gate edge
TW517348B (en) * 2001-11-05 2003-01-11 Macronix Int Co Ltd Manufacturing method of non-volatile memory with shallow junction
US6613657B1 (en) * 2002-08-30 2003-09-02 Advanced Micro Devices, Inc. BPSG, SA-CVD liner/P-HDP gap fill
US6743684B2 (en) * 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6720213B1 (en) * 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6967143B2 (en) * 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
US7342289B2 (en) * 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
DE102005009019B4 (de) * 2005-02-28 2008-01-10 Qimonda Ag Transistoranordnung mit Gate-Spacerstrukturen und Verfahren zu deren Herstellung
US7691712B2 (en) * 2006-06-21 2010-04-06 International Business Machines Corporation Semiconductor device structures incorporating voids and methods of fabricating such structures
US7687364B2 (en) * 2006-08-07 2010-03-30 Intel Corporation Low-k isolation spacers for conductive regions
KR100753558B1 (ko) * 2006-08-21 2007-08-30 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
EP1916706B1 (en) 2006-10-23 2016-08-31 Imec Method for forming a semiconductor device and semiconductor device thus obtained
US7585716B2 (en) * 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
EP2068351A1 (en) * 2007-12-03 2009-06-10 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Floating gate non-volatile memory device and method for manufacturing same
JP5291992B2 (ja) 2008-06-10 2013-09-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8679962B2 (en) * 2008-08-21 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US7888195B2 (en) * 2008-08-26 2011-02-15 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8405143B2 (en) * 2009-07-27 2013-03-26 United Microelectronics Corp. Semiconductor device
US8299508B2 (en) * 2009-08-07 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS structure with multiple spacers
CN102117750B (zh) * 2009-12-30 2012-08-29 中国科学院微电子研究所 Mosfet结构及其制作方法
US8557692B2 (en) 2010-01-12 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and source drain implant technique
US8232148B2 (en) * 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
US8664102B2 (en) * 2010-03-31 2014-03-04 Tokyo Electron Limited Dual sidewall spacer for seam protection of a patterned structure
CN102222692B (zh) * 2010-04-14 2013-06-12 中国科学院微电子研究所 半导体器件及其制造方法
CN102237398B (zh) * 2010-04-20 2013-09-04 中国科学院微电子研究所 半导体结构及其形成方法
US8847333B2 (en) * 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
US8546212B2 (en) * 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US9093559B2 (en) * 2012-03-09 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of hybrid high-k/metal-gate stack fabrication
CN103311281B (zh) * 2012-03-14 2016-03-30 中国科学院微电子研究所 半导体器件及其制造方法
CN103390644B (zh) * 2012-05-08 2017-07-11 中国科学院微电子研究所 半导体器件及其制造方法
US9799750B2 (en) * 2012-07-17 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
US8937369B2 (en) * 2012-10-01 2015-01-20 United Microelectronics Corp. Transistor with non-uniform stress layer with stress concentrated regions
US8836048B2 (en) * 2012-10-17 2014-09-16 International Business Machines Corporation Field effect transistor device having a hybrid metal gate stack
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
CN103855013A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 N型mosfet的制造方法
US8889022B2 (en) * 2013-03-01 2014-11-18 Globalfoundries Inc. Methods of forming asymmetric spacers on various structures on integrated circuit products
US9384984B2 (en) * 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US20150061042A1 (en) * 2013-09-03 2015-03-05 United Microelectronics Corp. Metal gate structure and method of fabricating the same
US9196546B2 (en) * 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
KR102178827B1 (ko) 2014-02-13 2020-11-13 삼성전자 주식회사 Mosfet, 그 제조 방법, 및 mosfet을 구비한 반도체 장치
JP2015159180A (ja) * 2014-02-24 2015-09-03 株式会社東芝 半導体装置
KR102212267B1 (ko) * 2014-03-19 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102262887B1 (ko) * 2014-07-21 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI635535B (zh) * 2015-03-10 2018-09-11 聯華電子股份有限公司 具有不同臨界電壓的金屬閘極的半導體製程及半導體結構
US9520477B2 (en) * 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company Semiconductor device and fabricating method thereof
US9525048B2 (en) * 2015-03-27 2016-12-20 International Business Machines Corporation Symmetrical extension junction formation with low-k spacer and dual epitaxial process in finFET device
US9397003B1 (en) * 2015-05-27 2016-07-19 Globalfoundries Inc. Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques
US9577102B1 (en) * 2015-09-25 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming gate and finFET

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5756383A (en) * 1996-12-23 1998-05-26 Advanced Micro Devices Method of manufacturing an active region of a semiconductor by diffusing a counterdopant out of a sidewall spacer
CN100355046C (zh) * 2002-03-26 2007-12-12 先进微装置公司 防止掺杂剂自源极/漏极延伸部向外扩散的方法
CN103311247A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法

Also Published As

Publication number Publication date
US10141417B2 (en) 2018-11-27
US11195931B2 (en) 2021-12-07
TWI646684B (zh) 2019-01-01
US20170110550A1 (en) 2017-04-20
KR20170046093A (ko) 2017-04-28
US20190006483A1 (en) 2019-01-03
US20200381529A1 (en) 2020-12-03
KR101991154B1 (ko) 2019-06-19
TW201731104A (zh) 2017-09-01
CN107017290A (zh) 2017-08-04
US10749008B2 (en) 2020-08-18

Similar Documents

Publication Publication Date Title
CN107017290B (zh) 栅极结构、半导体器件以及形成半导体器件的方法
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9177801B2 (en) FinFET device having a strained region
US9595442B2 (en) Method of forming semiconductor structure with anti-punch through structure
US9711620B2 (en) Method for FinFET device
US9142651B1 (en) Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
US11367782B2 (en) Semiconductor manufacturing
TWI623980B (zh) 半導體元件及其製造方法
US9214553B2 (en) Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US11631750B2 (en) Semiconductor structure and manufacturing method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant