CN106992118A - 半导体器件制造的方法和处理系统 - Google Patents

半导体器件制造的方法和处理系统 Download PDF

Info

Publication number
CN106992118A
CN106992118A CN201610900900.0A CN201610900900A CN106992118A CN 106992118 A CN106992118 A CN 106992118A CN 201610900900 A CN201610900900 A CN 201610900900A CN 106992118 A CN106992118 A CN 106992118A
Authority
CN
China
Prior art keywords
work function
layer
metal
function metal
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610900900.0A
Other languages
English (en)
Other versions
CN106992118B (zh
Inventor
蔡承晏
李欣怡
吴仲强
李达元
张文
蔡明兴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106992118A publication Critical patent/CN106992118A/zh
Application granted granted Critical
Publication of CN106992118B publication Critical patent/CN106992118B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28105Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供了预沉积处理(例如,功函层的)以完成功函调节的方法和结构。在各个实施例中,在衬底上方形成栅极介电层并且在栅极介电层上方沉积功函金属层。在一些实施例中,实施包括功函金属层的预处理工艺的第一原位工艺。例如,预处理工艺去除了功函金属层的氧化层以形成处理的功函金属层。在一些实施例中,在实施第一原位工艺之后,实施包括在处理的功函金属层上方的另一金属层的沉积工艺的第二原位工艺。本发明的实施例还涉及半导体器件制造的方法和处理系统。

Description

半导体器件制造的方法和处理系统
技术领域
本发明的实施例涉及半导体器件制造的方法和处理系统。
背景技术
电子工业经历了对更小且更快的电子器件的日益增加的需求,它们同时能够支持更多数量的越来越复杂和尖端的功能。相应地,半导体工业中的持续趋势为制造低成本、高性能和低功耗的集成电路(IC)。到目前为止,已经通过按比例缩小半导体IC尺寸(如,最小部件尺寸)在很大程度上实现了这些目标并且从而提高了生产效率并且降低了相关成本。然而,这种按比例缩小也引起了半导体制造工艺的复杂程度的增加。因此,半导体IC和器件的持续进步的实现还需要半导体制造工艺和技术中的类似进步。
例如,随着金属栅电极和高K栅极电介质已经代替传统多晶硅栅电极和二氧化硅电介质,关键挑战的一个是找到具有适当的功函值的金属电极层。为此,具有多种功函值的多种金属电极层(例如,靠近导带边缘、靠近价带边缘或靠近中间间隙)以及它们的组合已经研究了在各种电子类型(例如,2D和/或3D N-型/P-型FET)中的应用。一般地,更多的关注已经致力于各种功函调节技术。然而,在至少一些现有工艺中,给定金属层的有效功函数受到适当的调节旋钮的缺乏的限制。一些传统的功函数调节方法仅调整金属层的厚度。例如,较厚的金属层有时可以用于努力克服功函金属负载效应。此外,在一些现有工艺中,较差的金属层沉积可能引起金属层中的间隙或空隙,不利地影响了器件性能。
因此,现有技术已经证明不是在所有方面都已完全令人满意。
发明内容
本发明的实施例提供了一种半导体器件制造的方法,包括:在衬底上方形成栅极介电层;在所述栅极介电层上方沉积功函金属层;实施第一原位工艺,所述第一原位工艺包括所述功函金属层的预处理工艺,其中,所述预处理工艺去除了所述功函金属层的氧化层以形成处理的功函金属层;以及在实施所述第一原位工艺之后,实施第二原位工艺,所述第二原位工艺包括在所述处理的功函金属层上方的另一金属层的沉积工艺。
本发明的另一实施例提供了一种半导体器件制造的方法,包括:在排空的处理系统的第一室中,在衬底上方形成栅极介电层;当保持处理系统的真空条件时,在所述排空的处理系统的第二室中在所述栅极介电层上方沉积功函金属层;当保持所述排空的处理系统的真空条件时,将所述衬底转移至所述排空的处理系统的第三室,并且在所述第三室中实施所述功函金属层的预处理工艺,从而形成处理的功函金属层;以及当保持所述排空的处理系统的真空条件时,将所述衬底转移至所述排空的处理系统的第四室,并且可以在所述第四室中在所述处理的功函金属层上方沉积随后的金属层。
本发明的又一实施例提供了一种处理系统,包括:多个处理室,所述多个处理室的每个均邻接包括转移臂的晶圆处理室,所述转移臂将衬底从所述多个处理室的一个转移至另一个;真空系统,连接至所述多个处理室和所述晶圆处理室,其中,所述真空系统保持所述多个处理室的每个和所述晶圆处理室的真空条件;所述多个处理室的第一室,配置为在所述衬底上方沉积栅极介电层;所述多个处理室的第二室,配置为在所述栅极介电层上方沉积功函金属层;所述多个处理室的第三室,配置为实施所述功函金属层的预处理工艺并且从而形成处理的功函金属层;以及所述多个处理室的第四室,配置为在所述处理的功函金属层上方沉积随后的金属层。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A是根据一些实施例的MOS晶体管的截面图;
图1B是根据本发明的一个或多个方面的FinFET器件的实施例的立体图;
图2是根据一些实施例的实施功函层的预沉积处理的方法的流程图;
图3A示出了根据一些实施例的根据示例性金属栅极沉积工艺处理的第一器件的截面图;
图3B示出了根据一些实施例的根据示例性金属栅极沉积工艺处理的第二器件的截面图;
图4A示出了根据一些实施例的根据包括原位预沉积处理的示例性金属栅极沉积工艺处理的第一器件的截面图;
图4B示出了根据一些实施例的根据包括原位预沉积处理的示例性金属栅极沉积工艺处理的第二器件的截面图;
图5A是根据一些实施例的示例性多室处理系统的示意性顶视图;
图5B是根据一些实施例的用于图5A的处理系统的每个处理室的可能的配置的示例性列表,以及可以原位实施的示例性工艺流程;以及
图6示出了根据一些实施例的示出作为各种处理条件的函数的平带电压(Vfb)的图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
应该注意,本发明呈现了栅极堆叠件形成的方法和相关的栅极堆叠件结构的形式的实施例,栅极堆叠件结构可以在任何各种类型器件中采用。例如,本发明的实施例可以用于形成栅极堆叠件,该栅极堆叠件适用于平面块状金属氧化物半导体场效应晶体管(MOSFET)、诸如FinFET器件的多栅极晶体管(平面或垂直)、全环栅(GAA)器件、欧米加栅(Ω-栅极)器件或Pi-栅极(Π-栅极)器件以及应变的半导体器件、绝缘体上硅(SOI)器件、部分耗尽的SOI器件、全耗尽的SOI器件或本领域中已知的其它器件。此外,此处公开的实施例可以在P-型和/或N-型器件的形成中采用。本领域中的普通技术人员可以意识到可能从本发明的方面受益的半导体器件的其它实施例。例如,此处描述的一些实施例可以应用于接触件、通孔或互连件的形成。
参照图1A的实例,此处示出了MOS晶体管100,提供了可以包括本发明的实施例的仅一个器件类型的实例。应该明白,示例性晶体管100不意味着以任何方式限制本发明,并且本领域中的技术人员将意识到本发明的实施例可以同样适用于任何各种其它器件类型,诸如以上描述的。晶体管100在衬底102上制造并且包括栅极堆叠件104。衬底102可以是诸如硅衬底的半导体衬底。衬底102可以包括包含在衬底102上形成的导电或绝缘层的各个层。根据设计需求,衬底102可以包括本领域中已知的各种掺杂配置。衬底102也可以包括诸如锗、碳化硅(SiC)、硅锗(SiGe)或金刚石的其它半导体。可选地,衬底102可以包括化合物半导体和/或合金半导体。此外,在一些实施例中,衬底102可以包括外延层(epi层),衬底102可以是应变的以用于性能增强,衬底102可以包括绝缘体上硅(SOI)结构,和/或衬底102可以具有其它合适的增强部件。
栅极堆叠件104包括栅极电介质106和设置在栅极电介质106上的栅电极108。在一些实施例中,栅极电介质106可以包括诸如氧化硅层(SiO2)或氮氧化硅(SiON)的界面层,其中,可以通过化学氧化、热氧化、原子层沉积(ALD)、化学汽相沉积(CVD)和/或其它合适的方法形成这种界面层。在一些实例中,栅极电介质106包括诸如氧化铪(HfO2)的高k介电层。可选地,高k介电层可以包括其它高k电介质,诸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON)、它们的组合或其它合适的材料。如此处使用和描述的高k栅极电介质包括具有高介电常数(例如,大于热氧化硅(~3.9))的介电材料。仍在其它实施例中,栅极电介质106可以包括二氧化硅或其它合适的电介质。可以通过ALD、物理汽相沉积(PVD)、氧化和/或其它合适的方法形成栅极电介质106。在一些实施例中,作为前栅极或后栅极(例如,置换栅极)工艺的部分,可以沉积栅电极108。在各个实施例中,栅电极108包括诸如W、TiN、TaN、WN、Re、Ir、Ru、Mo、Al、Cu、Co、Ni、它们的组合和/或其它合适的组分的导电层。在一些实例中,栅电极108可以包括用于N-型晶体管的第一金属材料和用于P-型晶体管的第二金属材料。因此,晶体管100可以包括双功函金属栅极配置。例如,第一金属材料(例如,用于N-型器件)可以包括具有与衬底导带的功函数基本一致的或至少与晶体管100的沟道区域114的导带的功函数基本一致的功函数的金属。类似地,第二金属材料(例如,用于P-型器件)可以包括具有与衬底价带的功函数基本一致的或至少与晶体管100的沟道区域114的价带的功函数基本一致的功函数的金属。因此,栅电极108可以提供用于晶体管100(包括N-型和P-型器件)的栅电极。在一些实施例中,栅电极108可以可选地或额外地包括多晶硅层。在各个实例中,可以使用PVD、CVD、电子束(e-beam)蒸发和/或其它合适的工艺形成栅电极108。在一些实施例中,在栅极堆叠件104的侧壁上形成侧壁间隔件。这种侧壁间隔件可以包括诸如氧化硅、氮化硅、碳化硅、氮氧化硅或它们的组合的介电材料。
晶体管100还包括均在半导体衬底102内形成的源极区域110和漏极区域112,邻近于栅极堆叠件104并且在栅极堆叠件104的每侧上。在一些实施例中,源极区域110和漏极区域112包括扩散源极/漏极区域,离子注入源极/漏极区域,外延生长区域或它们的组合。晶体管100的沟道区域114限定为栅极电介质106下方的源极区域110和漏极区域112之间并且位于半导体衬底102内的区域。沟道区域114具有相关的沟道长度“L”和相关的沟道宽度“W”。当将大于晶体管100的阈值电压(Vt)(即,导通电压)的偏置电压随着源极区域110和漏极区域112之间同时施加的偏置电压一起施加至栅电极108时,电流(例如,晶体管驱动电流)通过沟道区域114在源极区域110和漏极区域112之间流动。发展为用于给定偏置电压(例如,施加至栅电极108或源极区域110和漏极区域112之间)的驱动电流的量是用于形成沟道区域114的材料的迁移率等的函数。在一些实例中,沟道区域114包括硅(Si)和/或诸如锗的高迁移率材料以及本领域中已知的多种化合物半导体或合金半导体的任何半导体。高迁移率材料包括电子迁移率和/或空穴迁移率大于硅(Si)的那些材料,该材料室温(300K)下具有约1350cm2/V-s的本征电子迁移率和约480cm2/V-s的空穴迁移率。
参照图1B,此处示出的是FinFET器件150,提供了可以包括本发明的实施例的可选器件类型的实例。例如,FinFET器件150包括一个或多个鳍基、多栅极场效应晶体管(FET)。FinFET器件150包括衬底152、从衬底152延伸的至少一个鳍元件154、隔离区域156和设置在鳍元件154上和周围的栅极结构158。衬底152可以是诸如硅衬底的半导体衬底。在各个实施例中,衬底152可以与如上所述的衬底102基本相同。
鳍元件154,类似于衬底152,可以包括硅或另一元素半导体(诸如锗);化合物半导体(包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟);合金半导体(包括SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP、和/或GaInAsP);或它们的组合。可以使用包括光刻和蚀刻工艺的合适的工艺制造鳍154。光刻工艺可以包括形成位于衬底上面(例如,硅层上)的光刻胶层(抗蚀剂),将光刻胶曝光成图案,实施曝光后烘烤工艺,并且显影光刻胶以形成包括光刻胶的掩模元件。在一些实施例中,可以使用电子束(e-beam)光刻工艺实施图案化光刻胶以形成掩模元件。之后,当蚀刻工艺在硅层中形成凹槽时,该掩模元件可以用于保护衬底的区域,从而留下延伸的鳍154。可以使用干蚀刻(例如,化学氧化物去除)、湿蚀刻和/或其它合适的工艺蚀刻凹槽。也可以使用方法的许多其它实施例以在衬底152上形成鳍154。
多个鳍154的每个也包括源极区域155和漏极区域157,其中,源极/漏极区域155、157形成在鳍154中、上和/或周围。源极/漏极区域155、157可以外延生长在鳍154上方。此外,晶体管的沟道区域沿着与图1B的截面AA’限定的平面基本平行的平面设置在鳍154内、栅极结构158下面。在一些实例中,如上所述,鳍的沟道区域包括高迁移率材料。
隔离区域156可以是浅沟槽隔离(STI)部件。可选地,可以在衬底152上和/或内实现场氧化物、LOCOS部件和/或其他合适的隔离部件。隔离部件156可以由氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、低k电介质、它们的组合和/或本领域中已知的其它合适的材料组成。在实施例中,隔离部件是STI部件并且通过在衬底152中蚀刻沟槽形成。之后,该沟槽可以用隔离材料填充,随后是化学机械抛光(CMP)工艺。然而,其它实施例是可能的。在一些实施例中,隔离区域156可以包括多层结构,例如,具有一个或多个衬垫层。
栅极结构158包括具有在鳍154的沟道区域上方形成的界面层160、在界面层160上方形成的栅极介电层162和在栅极介电层162上方形成的金属层164的栅极堆叠件。在各个实施例中,界面层160与描述为栅极电介质106的部分的界面层基本相同。在一些实施例中,栅极介电层162与栅极电介质106基本相同并且可以包括与用于栅极电介质106类似的高k电介质。类似地,在各个实施例中,金属层164与如上所述的栅电极108基本相同。在一些实施例中,在栅极结构158的侧壁上形成侧壁间隔件。侧壁间隔件可以包括诸如氧化硅、氮化硅、碳化硅、氮氧化硅或它们的组合的介电材料。
本发明的实施例提供了超越现有技术的优势,但是,应该明白,其它实施例可以提供不同的优势,不是所有的优势都必需在此处讨论,没有特定的优势对所有实施例都是需要的。例如,此处讨论的实施例包括针对预沉积处理(例如,功函层的)和随后的原子层沉积(ALD)工艺(例如,随后的ALD金属层沉积)以及由此形成的结构的方法和结构。在一些实施例中,如下所述,原位实施预沉积处理和随后的ALD工艺。如此处使用的,术语“原位”用于描述当器件或衬底保持在处理系统(例如,包括负载锁室、转移室、处理室或任何其它的流体连接室)内时实施的工艺,并且其中,例如,处理系统允许衬底保持在真空条件下。因此,术语“原位”通常也可以用于指工艺,其中,被处理的器件或衬底没有暴露于外部环境(例如,处理系统的外部)。此外,虽然本发明的实施例主要参照N-型晶体管讨论,但是应该明白,此处公开的实施例可以同样应用于P-型晶体管,其中,这种实施方式可以由本领域中的技术人员较易地推断出,例如,通过以下描述的N-型器件实施方式的对称性。
本发明的至少一些实施例针对用于使用10纳米或7纳米工艺技术制造的器件的功函数调节,但是应该明白,在不背离本发明的范围的情况下,此处公开的实施例可以同样应用于其它工艺技术。此外,本发明的实施例可以同时用于改善间隙填充效果(例如,通过ALD工艺的使用)。如此处使用的,术语“间隙填充效果”可以用于描述栅极金属填充的挑战(例如,在置换金属栅极工艺中)。在一些现有工艺中,较差的金属栅极沉积可能引起金属栅极中的间隙或空隙,不利地影响了器件性能。本发明的实施例,有利地使用了用于金属栅极层沉积的ALD工艺,提供了基本无空隙的高质量、共形的金属栅极层并且从而有效地缓解了与间隙填充效果相关的潜在问题。此外,在至少一些现有工艺(例如,一些10纳米工艺或7纳米工艺)中,有效功函数受到适当的调节旋钮的缺乏的限制。因此,需要有效功函数调节旋钮以进一步增强器件性能,例如,超越层厚度调整的传统功函数调节方法。例如,在至少一些现有工艺(例如,采用用于栅极堆叠件沉积的ALD)中,可以通过更多ALD循环的沉积实现功函数调节以减小金属表面负载效应。
如上所述,本发明的实施例针对预沉积处理(例如,功函层的)和随后的ALD工艺,以及从而形成的结构。如此处使用的,术语“预沉积处理”可以与术语“预处理”、“预沉积浸泡”或“预浸泡”互换使用。因此,此处公开的各个实施例基于通过使用氯[Cl]和/或氟[F]基金属前体预浸泡或预处理工艺清洗氧化表面(例如,功函层的,随后将在该功函层上沉积ALD金属膜)。通过采用这种预浸泡/预处理工艺,随后沉积的ALD金属膜的功函数将不会依赖于可以减小ALD金属膜的生长速率(并且因此减小厚度)的变化的衬底表面或下面的衬底的质量(例如,诸如具有氧化层的下面的功函层),从而产生了改进的器件阈值电压(Vt)。因此,本发明的至少一些优势包括:在诸如群集工具(例如,室群集在一起的ALD群集工具)的处理系统中一同/顺序(例如,原位)实施的适当的下一金属层(例如,另一功函层、阻挡层、覆盖层或其它适当的下一金属层)的沉积之前,通过使用[Cl]和/或[F]基金属前体预浸泡工艺处理功函设定层(例如,N-型功函层)来改进器件Vt,。可以肯定的是,在一些实施例中,单独的非原位预处理(例如,功函层的)和随后的下一金属层的沉积也可以提供一些优势(例如,阈值电压改进)。
换句话说,基于通过使用[Cl]/[F]基金属前体预浸泡清洗ALD金属膜表面的氧化表面而引起的无氧化物,ALD金属膜的下一功函数将不会依赖于不同的衬底以减小它们的厚度增长速率并且获得Vt改进。将通过使用[Cl]/[F]基金属前体预浸泡工艺和N-金属功函层室群集在一起改进Vt。
现在参照图2,图2示出了根据一些实施例的实施预沉积处理(例如,功函层的)和随后的ALD工艺的方法200。方法200可以在单个栅极平面器件(诸如参照图1A的以上描述的示例性晶体管100)上,以及多栅极器件(诸如参照图1B的以上描述的FinFET器件150)上实现。因此,参照晶体管100和/或FinFET 150的以上描述的一个或多个方面也可以应用于方法200。可以肯定的是,在各个实施例中,方法200可以在诸如全环栅(GAA)器件、欧米加栅(Ω-栅极)器件或Pi-栅极(Π-栅极)器件以及应变的半导体器件、绝缘体上硅(SOI)器件、部分耗尽的SOI器件、全耗尽的SOI器件或本领域中已知的其它器件上的其它器件上实现。
应该明白,参照方法200讨论的部分方法200和/或任何示例性晶体管器件可以通过已知良好的互补金金属氧化物半导体(CMOS)技术工艺流动制造,并且因此一些工艺在此处仅简单描述。此外,应该明白,此处讨论的任何示例性晶体管器件可以包括各个其它器件和部件,诸如额外的晶体管、双极结型晶体管、电阻器、电容器、二极管、熔丝等,但是简化以用于更好的理解本发明的发明概念。此外,在一些实施例中,此处公开的示例性晶体管器件可以包括多个半导体器件(例如,晶体管),该晶体管可以是互连的。此外,在一些实施例中,本发明的各个方面可以应用于后栅极工艺或者前栅极工艺的任一种。
此外,在一些实施例中,此处示出的示例性晶体管器件可以包括工艺的中间阶段处的器件的描述,可以在集成电路或它们的部分的处理期间制造,该集成电路可以包括静态随机存取存储器(SRAM)和/或其它逻辑电路、无源组件(诸如电阻器、电容器和电感器)和有源组件(诸如P-沟道鳍式场效应晶体管(PFET)、N-沟道FET(NFET)、金属氧化物半导体鳍式场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极型晶体管、高压晶体管、高频晶体管、其它存储器单元和/或它们的组合)。
首先参照方法200,方法200提供了根据一些实施例的用于实施预沉积处理(例如,功函层的)和随后的ALD工艺的一般工艺流程。以下将参照图3A/3B和图4A/4B更详细的讨论根据本发明的实施例的工艺和示例性相关结构的额外的描述。方法200开始于块202,在衬底102上方形成界面层,其中,形成的界面层可以与以上描述为部分栅极电介质106的界面层类似。方法200进入块204,在界面层上形成高K介电层,其中,高K介电层可以与以上描述为部分栅极电介质106的高K介电层基本类似。在某些情况下,可以在高K介电层上方形成高K覆盖层。
在高K介电层的形成之后,之后,方法200进入块206,在高K介电层上方沉积功函金属。在一些实施例中,功函金属是参照图1A的以上描述的部分栅电极108。可选地,在一些实施例中,功函金属是参照图1B的以上描述的部分金属层164。如此处使用的,术语“功函金属”用于描述用于设定栅电极108的功函值的层。在各个实施例中,功函金属可以包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi、TiAlN、它们的组合或其它适当的金属。因此,在一些实施例中,沉积在高K介电层上方的功函金属层可以包括配置为设定栅电极108的功函值的多个层。在各个实例中,可以使用ALD形成功函金属。例如,可以在约200至600℃的温度下通过ALD沉积功函金属。在某些情况下,可以可选地使用PVD、CVD、电子束(e-beam)蒸发和/或其它合适的工艺形成功函金属。在一些实施例中,可以氧化(例如,由于在功函金属的沉积之后,暴露于外部环境)沉积的功函金属(通过ALD沉积)的表面,在功函金属层上形成ALD金属氧化表面。
在至少一些现有工艺中,功函金属的氧化(ALD金属氧化表面的形成)可以对随后沉积在氧化的功函金属上的金属层产生负载效应。如此处使用的,术语“负载效应”用于描述作为氧化的功函金属的结果的沉积至氧化的功函金属上的金属层的特性/性质的不期望的改变。本发明的实施例提供了在随后的金属层的沉积之前,功函金属的氧化层的去除(或基本去除),从而保存了随后沉积的金属层的期望的特性/性质。
之后,方法200进入块208,实施功函金属的预处理工艺。在块208的实施例中,在功函金属上方沉积随后的金属膜之前,可以通过预处理工艺(包括Cl基或F基金属前体)处理ALD金属氧化表面来去除ALD金属氧化表面(例如,功函金属的氧化层)。在一些实施例中,Cl基或F基金属前体包括TiClx、TaClx、TiFx、HfClx、WFx或WClx,其中,‘x’等于约1至6。在各个实施例中,预处理工艺和随后的金属膜沉积是原位工艺,例如,在诸如ALD群集工艺的处理系统内实施。例如,在预处理工艺期间,在约300至100℃的预处理工艺温度下,以及在约100至8000标准立方厘米每分钟(sccm)的流率下,可以将Cl基或F基金属前体引入至处理系统的处理室(例如,处理室容纳具有ALD金属氧化表面的衬底)。在各个实例中,选择预处理工艺条件(例如,包括Cl基或F基金属前体、预处理温度和Cl基或F基金属前体的流率的选择)以引起Cl基或F基金属前体和ALD金属氧化表面之间的热化学反应,从而使得将去除或清洗掉功函金属的氧化层作为预处理工艺的结果,从而留下之后非氧化的、清洁的功函金属表面。可以肯定的是,在一些实施例中,单独的非原位预处理(例如,功函层的)和随后的下一金属层的沉积也可以提供一些优势(例如,阈值电压改进)。
在预处理工艺之后,方法200进入块210,在预处理的功函金属上方沉积下一(随后的)金属层。在一些实施例中,下一金属层包括N-金属层(例如,诸如TiAlC)和N-金属层上方的TiN层,并且在一些实例中,可以通过ALD沉积下一金属层。例如,并且在一些实施例中,下一金属层也可以是参照图1A的以上描述的部分栅电极108。可选地,在一些实施例中,下一金属层也可以是参照图1B的以上描述的部分金属层164。此外,在一些实施例中,沉积在预处理的功函层上方的下一金属层可以包括一个或多个其它层(例如,除了以上描述的那些)。在某些情况下,沉积在预处理功函层上方的下一金属层和任何其它的层也可以用于设定栅电极108的功函值。特别注意,由于在预处理工艺期间,清洗/去除了功函金属的氧化层,如上所述,下一金属层可以沉积至非氧化的、清洁的功函金属表面。因此,保存了沉积的下一金属层的期望的特性/性质,如下一金属层没有经受下面的功函金属的负载效应(例如,由于氧化层)。作为进一步的结果,本发明的实施例产生了具有改进的阈值电压和改进的器件可靠性的器件(例如,晶体管100)。
根据方法200制造的器件(例如,晶体管100)可以经受进一步处理以形成本领域中已知的各个部件和区域。例如,随后的处理可以在包括器件的衬底上形成各个接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),配置为连接各个部件以形成可以包括一个或多个器件(例如,一个或多个晶体管100)的功能电路。在进一步的实例中,多层互连件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各个互连部件可以采用包括铜、钨和/或硅化物的各种导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜相关的多层互连结构。此外,可以在方法200之前、期间和之后实施额外的工艺步骤,并且根据方法200的各个实施例,可以替换或消除以上描述的一些工艺步骤。
现在参照图3A/3B和图4A/4B,此处示出了用于示例性金属栅极沉积工艺的工艺流程。具体地,图3A和图3B示出了用于金属栅极沉积工艺的工艺流程,该金属栅极沉积工艺没有原位实施功函金属的预处理工艺以及预处理的功函金属上的下一金属层(例如,TiAlC)的沉积。可选地,图4A和图4B示出了根据各个实施例的用于金属栅极沉积工艺的工艺流程,其中,以原位的方式实施功函金属的预处理工艺和预处理的功函金属上的下一金属层的沉积。应该注意,图3A/3B和图4A/4B示出了用于堆叠层(例如,用于金属栅极堆叠件)的示例性组成。这些示例性组成仅提供为用于简单参考并且不旨在限制具体要求的范围。本发明的范围内的各种组成可以包括(例如,单个栅极堆叠件内)一个或多个功函层、一个或多个阻挡层、一个或多个覆盖层、一个或多个金属填充层、一个或多个多晶硅层、一个或多个硅化物层、一个或多个掺杂层或其它适当的层(包括和/或超越此处具体提及并且对于给定的技术或应用需要或期望配置的那些层)。此外,在一些实施例中,对于给定的栅极堆叠件可以多次重复预处理工艺和随后的金属层沉积。在一些实例中,在随后的金属层的沉积之前,可以多次重复预处理工艺以处理特定的金属层(例如,特定的功函金属层)。
从图3A/3B的示例性工艺开始,图3A示出了用于说明性栅极堆叠件的形成的方法302,该方法可以用于第一类型的器件(例如,用于N-型超低阈值电压(N-uLVT)器件),并且图3B示出了用于说明性栅极堆叠件的形成的方法304,该方法可以用于第二类型的器件(例如,用于N-型标准阈值电压(N-SVT)器件)。应该明白,参照图3A/3B示出的和描述的说明性栅极堆叠件和示例性工艺可以应用于任何类型的器件,例如,如上所述,采用任何各种不同类型的功函金属层和/或层的组合。
首先参照步骤306,方法302(例如,用于N-uLVT器件)和304(例如,用于N-SVT器件)的每个均可以从高K覆盖层(HK CAP)的形成开始,如上所述,可以在高K栅极介电层上方形成高K覆盖层。在一些实施例中,HK CAP可以包括镧基氧化物层(例如,LaOx)。在一些实例中,HK CAP可以包括诸如Al2O3层、SiO2层、Y2O3层、TiN层、TiSiN层、它们的组合或其它合适的覆盖层的其它层。仍参照步骤306,对于方法302(例如,用于N-uLVT器件)和304(例如,用于N-SVT器件)的每个,均可以在HK CAP上方形成TaN层。在各个实施例中,如上所述,TaN层可以是功函金属。在各个实施例中,TaN层可以是分别参照图1A和图1B的以上描述的栅电极108或金属层164的部分。
下一步,参照步骤308,对于方法304(例如,用于N-SVT器件),在TaN层上方形成TiN层。在各个实例中,TiN层也可以是分别参照图1A和图1B的以上描述的栅电极108或金属层164的部分。在一些实施例中,可以实施TiN层的形成(例如,在TaN层上方)以调整N-SVT器件的阈值电压和/或以用作额外的功函金属。在一些实施例中,可以氧化(例如,由于在功函金属的沉积之后,暴露于外部环境)TaN层(例如,用于N-uLVT器件)的表面和/或TiN层(例如,用于N-SVT器件)的表面,形成ALD金属氧化表面。
下一步,参照步骤310,方法302(例如,用于N-uLVT器件)和304(例如,用于N-SVT器件)的每个均可以进行至实施预处理工艺。如上所述,预处理工艺可以包括诸如TiClx、TaClx、TiFx、HfClx、WFx或WClx的Cl基或F基金属前体,其中,‘x’等于约1至6。例如,在预处理工艺期间,在约300至100℃的预处理工艺温度下,以及在约100至8000标准立方厘米每分钟(sccm)的流率下,可以将Cl基或F基金属前体引入至处理系统的处理室(例如,处理室容纳具有ALD金属氧化表面的衬底)。在各个实施例中,选择预处理工艺条件(例如,包括Cl基或F基金属前体、预处理温度和Cl基或F基金属前体的流率的选择)以引起Cl基或F基金属前体和TaN层(例如,用于N-uLVT器件)的表面之间或Cl基或F基金属前体和TiN层(例如,用于N-SVT器件)的表面之间的热化学反应。将去除或清洗掉TaN层(例如,用于N-uLVT器件)的氧化层和/或TiN层(例如,用于N-SVT器件)的氧化层作为步骤310的预处理工艺的结果。在一些实施例中,TaN层(例如,用于N-uLVT器件)基本可以全部氧化。因此,在一些实施例中,在某些情况下,步骤310的预处理工艺可以去除这种全部氧化的TaN层(例如,用于N-uLVT器件),从而暴露下面的HK CAP层(例如,用于N-uLVT器件)。
下一步,参照步骤312,在实施步骤310的预处理工艺之后,可以从实施预处理工艺的处理系统去除每个器件(例如,N-uLVT器件和N-SVT器件)。例如,N-uLVT器件和N-SVT器件的每个可以非原位转移至另一处理系统和/或处理系统的另一处理室以用于随后处理。作为这个非原位转移的结果,可以部分地再氧化先前清洗的TaN层(例如,用于N-uLVT器件)和/或TiN层(例如,用于N-SVT器件)。在一些实例中,当TaN层(例如,用于N-uLVT器件)基本全部氧化并且预处理工艺去除了全部的这种氧化的TaN层时,暴露的和下面的HK CAP层(例如,用于N-uLVT器件)可以变成部分地氧化的。在一些实施例中,如参照图6的更详细的讨论的,尽管由于非原位工艺的潜在的再氧化,但是预处理工艺仍可以提供器件性能改进(例如,由于改进的Vt)。之后,仍参照步骤312,如图3A/3B所示,之后,可以在每个器件(例如,N-uLVT器件和N-SVT器件)上方沉积(例如,通过ALD)TiN层/N-金属层堆叠件(在一些实例中,TiN/TiAlC堆叠件,其中,例如,N-金属包括TiAlC)。在各个实例中,TiN层/N-金属层堆叠件可以是分别参照图1A和图1B的以上描述的栅电极108或金属层164的部分。在一些实施例中,由于下面的层的部分再氧化,TiN层/N-金属层堆叠件可以沉积至部分氧化的下面的表面上。因此,沉积的TiN层/N-金属层堆叠件的期望的特性和性质可能经受一些负载效应。然而,应该注意,尤其与没有经受这种预处理工艺的器件相比,预处理工艺仍可以提供器件性能改进。因此,在一些实施例中,例如,与没有经受这种预处理工艺的器件相比,根据方法302/304的实施例制造的器件(例如,晶体管100)可以显示改进的阈值电压和改进的器件可靠性。
现在参照步骤314,对于每个器件(例如,N-uLVT器件和N-SVT器件),可以在TiN层/N-金属层堆叠件的每个上方沉积(例如,在一些实例中,通过ALD)胶层。在一些实例中,胶层包括TiN BLK层;然而,在不背离本发明的范围的情况下,同样可以使用本领域中已知的其它胶层。在一些实施例中,可以在胶层上方沉积成核层309(例如,诸如通过ALD沉积的钨(W)成核层),并且之后,可以在成核层309上方沉积(例如,通过ALD)钨(W)填充层。在各个实例中,胶层、成核层309和W填充层可以是分别参照如1A和图1B的以上描述的栅电极108或金属层164的部分。此外,本发明的实施例有利地使用用于金属栅极层的沉积的ALD工艺,可以提供基本无空隙的高质量、共形的金属栅极层并且从而有效地缓解与间隙填充效果相关的潜在问题。因此,例如以及在一些实施例中,W填充层可以沉积为基本无空隙层。
方法302/304的说明性器件(例如,N-uLVT器件和N-SVT器件)可以经受进一步处理以形成本领域中已知的各个部件和区域。例如,随后的处理可以在包括N-uLVT器件和N-SVT器件的衬底上形成各个接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),配置为连接各个部件以形成可以包括一个或多个N-uLVT器件和N-SVT器件的功能电路。在进一步的实例中,多层互连件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各个互连部件可以采用包括铜、钨和/或硅化物的各种导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜相关的多层互连结构。此外,可以在方法302/304之前、期间和之后实施额外的工艺步骤,并且根据方法302/304的各个实施例,可以替换或消除以上描述的一些工艺步骤。
现在参照图4A和图4B,此处示出了根据本发明的实施例的用于金属栅极沉积工艺的工艺流程,其中,以原位方式实施功函金属的预处理工艺和预处理的功函金属上的下一金属层(例如,诸如TiAlC)的沉积。从图4A/4B开始,并且根据各个实施例,图4A示出了用于说明性栅极堆叠件的形成的方法402,该方法可以用于第一类型的器件(例如,用于N-型超低阈值电压(N-uLVT)器件),并且图4B示出了用于说明性栅极堆叠件的形成的方法404,该方法用于第二类型的器件(例如,用于N-型标准阈值电压(N-SVT)器件)。应该明白,参照图4A/4B示出的和描述的说明性栅极堆叠件和示例性工艺可以应用于任何类型的器件,例如,如上所述,采用任何各种不同类型的功函金属层和/或层的组合。此外,应该明白,方法402和404的各个方面分别与参照图3A和图3B的以上讨论的方法302和304基本类似。因此,为了更清楚的理解,可能仅简单的讨论了方法402和404的一些方面。
首先参照步骤406,与方法302/304的步骤306类似,方法402(例如,用于N-uLVT器件)和404(例如,用于N-SVT器件)的每个均可以从高K覆盖层(HK CAP)的形成开始,HK CAP可以包括镧基氧化物层(例如,LaOx)、Al2O3层、SiO2层、Y2O3层、TiN层、TiSiN层、它们的组合或其它合适的覆盖层。仍参照步骤406,对于方法402(例如,用于N-uLVT器件)和404(例如,用于N-SVT器件)的每个,均可以在HK CAP上方形成TaN层。在各个实施例中,如上所述,TaN层可以是功函金属。在各个实施例中,TaN层可以是分别参照图1A和图1B的以上描述的栅电极108或金属层164的部分。
下一步,参照步骤408,与方法302/304的步骤308类似,对于方法404(例如,用于N-SVT器件),在TaN层上方形成TiN层。在各个实例中,TiN层也可以是分别参照图1A和图1B的以上描述的栅电极108或金属层164的部分。在一些实施例中,可以氧化(例如,由于在功函金属的沉积之后,暴露于外部环境)TaN层(例如,用于N-uLVT器件)的表面和/或TiN层(例如,用于N-SVT器件)的表面,形成ALD金属氧化表面。
下一步,参照步骤410,方法402和404与以上讨论的方法302/304背离。例如,方法302/304提供了用于预处理工艺和随后的TiN层/N-金属层堆叠件形成(例如,如单独的步骤310和312所示)的非原位工艺,而方法402/404提供了用于预处理工艺和随后的TiN层/N-金属层堆叠件形成的原位工艺。例如,方法302/304的两个单独的步骤310和312结合成方法402/404的原位步骤410。应该注意,元件409用于显示原位实施的工艺,如此处描述的,用于每个方法402/404。
因此,仍参照步骤410,方法402(例如,用于N-uLVT器件)和404(例如,用于N-SVT器件)的每个均可以进行至实施预处理工艺。如上所述,预处理工艺可以包括诸如TiClx、TaClx、TiFx、HfClx、WFx或WClx的Cl基或F基金属前体,其中,‘x’等于约1至6。例如,在预处理工艺期间,在约300至100℃的预处理工艺温度下,以及在约100至8000标准立方厘米每分钟(sccm)的流率下,可以将Cl基或F基金属前体引入至处理系统的处理室(例如,处理室容纳具有ALD金属氧化表面的衬底)。在各个实施例中,选择预处理工艺条件(例如,包括Cl基或F基金属前体、预处理温度和Cl基或F基金属前体的流率的选择)以引起Cl基或F基金属前体和TaN层(例如,用于N-uLVT器件)的表面之间或Cl基或F基金属前体和TiN层(例如,用于N-SVT器件)的表面之间的热化学反应。将去除或清洗掉TaN层(例如,用于N-uLVT器件)的氧化层和/或TiN层(例如,用于N-SVT器件)的氧化层作为预处理工艺的结果。在一些实例中,如上所述,TaN层(例如,用于N-uLVT器件)基本可以全部氧化。因此,在一些实施例中,在某些情况下,预处理工艺可以去除这种全部氧化的TaN层(例如,用于N-uLVT器件),从而暴露下面的HK CAP层(例如,用于N-uLVT器件)。
对于图4A/4B的原位工艺,在实施预处理工艺之后,被处理的衬底可以保持在用于实施预处理工艺的处理系统/室内,以避免衬底暴露于外部环境(例如,处理系统的外部)并且避免暴露的层的潜在的再氧化。因此,仍参照步骤410,在实施预处理工艺之后,并且如图4A/4B所示,之后,可以在每个器件(例如,N-uLVT器件和N-SVT器件)上方形成TiN层/N-金属层堆叠件(在一些实例中,TiN/TiAlC堆叠件)。在各个实例中,TiN层/N-金属层堆叠件可以是分别参照图1A和图1B的以上描述的栅电极108或金属层164的部分。由于图4A/4B的方法的原位性质,任何下面的层保持非氧化和清洁,TiN层/N-金属层堆叠件可以沉积至非氧化的、清洁的下面的表面上。因此,可以保存TiN层/N-金属层堆叠件的期望的特性和性质,如TiN层/N-金属层堆叠件没有经受下面的层的负载效应(例如,由于氧化层)。作为进一步结果,根据方法402/404的实施例制造的器件(例如,晶体管100)将具有改进阈值电压以及改进器件可靠性。在一些实施例中,例如,与以上描述的根据方法302/304制造的器件相比,根据方法402/404的实施例制造的器件可以额外地显示改进的阈值电压和改进的器件可靠性。
现在参照步骤412,与方法302/304的步骤314类似,对于每个器件(例如,N-uLVT器件和N-SVT器件),之后,可以在TiN层/N-金属层堆叠件的每个上方沉积(例如,在一些实例中,通过ALD)胶层。如上所述并且在一些实例中,胶层包括TiN BLK层;然而,在不背离本发明的范围的情况下,同样可以使用本领域中已知的其它胶层。在一些实施例中,可以在胶层上方沉积成核层309(例如,诸如通过ALD沉积的钨(W)成核层),并且之后,可以在成核层309上方沉积(例如,通过ALD)钨(W)填充层。在各个实例中,胶层、成核层309和W填充层可以是分别参照如1A和图1B的以上描述的栅电极108或金属层164的部分。此外,本发明的实施例有利地使用用于金属栅极层的沉积的ALD工艺,可以提供基本无空隙的高质量、共形的金属栅极层并且从而有效地缓解与间隙填充效果相关的潜在问题。因此,例如以及在一些实施例中,W填充层可以沉积为基本无空隙层。
方法402/404的说明性器件(例如,N-uLVT器件和N-SVT器件)可以经受进一步处理以形成本领域中已知的各个部件和区域。例如,随后的处理可以在包括N-uLVT器件和N-SVT器件的衬底上形成各个接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),配置为连接各个部件以形成可以包括N-uLVT器件和N-SVT器件的一个或多个的功能电路。在进一步的实例中,多层互连件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各个互连部件可以采用包括铜、钨和/或硅化物的各种导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜相关的多层互连结构。此外,可以在方法402/404之前、期间和之后实施额外的工艺步骤,并且根据方法402/404的各个实施例,可以替换或消除以上描述的一些工艺步骤。
现在参照图5A,此处示出了示例性多室处理系统500的示意性顶视图。在一些实施例中,系统500可以等效地称为“群集工具”。系统500通常可以包括负载锁室502、504、晶圆处理室506和多个处理室1至6。在各个实施例中,负载锁室502、504提供为用于将衬底转移入系统500内和从系统500转移出衬底。在各个实施例中,系统500在真空下(例如,由可以包括机械泵、涡轮分子泵、低温泵、或其他适当的真空泵的真空系统提供),并且负载锁室502、504可以“泵下”引入至系统500的衬底(例如,通过真空系统的方式)。在一些实施例中,负载锁室502、504可以适应于接收单个晶圆或多个晶圆(例如,载入暗盒)。例如,当负载锁室502、504的一个或两个排气时,负载锁室502、504可以通过闸阀的方式与晶圆处理室506分隔开,以允许晶圆处理室506保持在真空下。
在各个实施例中,晶圆处理室506配备有自动化的转移臂507(例如,机械转移臂),转移臂507可以沿着任何水平、垂直和/或旋转轴平滑地移动以在负载锁室502、504和任何衬底处理室1至6之间转移衬底。每个处理室1至6均可以配置为实施许多衬底处理操作(诸如原子层沉积(ALD)、CVD、PVD、蚀刻、预处理/预浸泡、脱气、退火)以及许多计量操作(诸如XPS分析、AFM分析和/或其它合适的工艺或计量操作)。在各个实施例中,系统500可以具有更多和更少的处理室,例如,必要时通过系统500实施特定的处理。
参照图5B的实例,此处示出了根据一些实施例的用于图5A的系统500的每个处理室1至6的可能的配置的示例性列表。例如,在一些实施例中,处理室1可以配置为用于高K介电层(例如,作为栅极电介质106的部分)的沉积;处理室2可以配置为用于TiN、TiSiN以及HKCAP层的沉积(或用于包括TiN/TiSiN堆叠件的HK CAP层的沉积);处理室3可以配置为用于TaN的沉积;处理室4可以配置为实施[Cl]和/或氟[F]基金属前体预浸泡或预处理工艺;处理室5可以配置为用于N-金属层(例如,诸如TiAlC)的沉积;并且处理室6可以配置为用于TiN或TiN/Co的沉积。应该明白,这些处理室配置仅是示例性的,并且不意味着以任何方式限制。通过说明的方式,以上描述的原位工艺可以包括在给定的处理室(例如,处理室1至6)内实施的工艺,或可以包括以下工艺:在第一处理室中实施第一工艺,以及将衬底转移至第二处理室(例如,经由晶圆处理室506),在第二处理室中实施第二工艺。不管原位工艺的实施方式,此处描述的原位工艺可以包括当器件或衬底保持在系统500(例如,包括负载锁室502、504、晶圆处理室506和/或任何处理室1至6)内时实施的工艺,并且例如,系统500保持在真空下。
图5B也通过箭头510、512、514、516、518的方式示出了可以原位实施的一些示例性工艺流程。例如,参照箭头510并且在一些说明性实例中,[Cl]和/或氟[F]基金属前体预处理工艺(室4)和N-金属层沉积(室5)都可以原位实施(并且在某些情况下,按顺序)。例如,参照箭头512并且在一些说明性实例中,[Cl]和/或氟[F]基金属前体预处理工艺(室4)、N-金属层沉积(室5)和TiN或TiN/Co沉积(室6)可以全部原位实施(并且在某些情况下,按顺序)。参照箭头514并且在一些说明性实施例中,TaN层沉积(室3)、[Cl]和/或氟[F]基金属前体预处理工艺(室4)、N-金属层沉积(室5)和TiN或TiN/Co沉积(室6)可以全部原位实施(并且在某些情况下,按顺序)。参照箭头516并且在一些说明性实施例中,HK CAP层沉积(室2)、TaN层沉积(室3)、[Cl]和/或氟[F]基金属前体预处理工艺(室4)、N-金属层沉积(室5)和TiN或TiN/Co沉积(室6)可以全部原位实施(并且在某些情况下,按顺序)。参照箭头518并且在一些说明性实施例中,高K介电层沉积(室1)、HK CAP层沉积(室2)、TaN层沉积(室3)、[Cl]和/或氟[F]基金属前体预处理工艺(室4)、N-金属层沉积(室5)和TiN或TiN/Co沉积(室6)可以全部原位实施(并且在某些情况下,按顺序)。
现在参照图6,此处示出了根据本发明的实施例的示出用作各个处理条件的函数的平带电压的图600,并且进一步说明了处理的器件有益于器件阈值电压(Vt)。为了清楚的讨论,应该注意,晶体管阈值电压(Vt)和平带电压(Vfb)通常可以表示为:
从用于Vt和Vfb的这两个表达式,可以清楚Vt受到平带电压的变化的影响。作为参考,考虑包括位于TaN层上方的TiAlC层和位于TiAlC层上方的TiN层的器件602、604和606。在某些情况下,TaN层可以是以上描述的功函层,TiAlC层可以是以上描述的N-金属层,并且TiN层可以是以上描述的下一金属层(可以包括TiAlC和TiN的堆叠件)的部分。例如并且在一些实施例中,TaN层可以具有约15埃的厚度,并且TiN层可以具有约10埃的厚度。此外,应该注意,如上所述,对于器件602、604和606的每个,元件608、610和612分别用于显示可以原位实施的工艺。此外,闪电符号(也用于图3A/3B和图4A/4B)用于显示对给定器件(604和606)实施预处理工艺。
因此,从器件602开始,应该注意,没有实施此处描述的预处理工艺。在TaN层的沉积之后,可以在TaN层上方依次原位沉积TiAlC层和TiN层。制造的具有器件602的最终器件测量为具有等于约-380mV的平带电压(Vfb)。
下一步,参照器件604,在TaN层的沉积之后,例如,如参照方法302/304的以上描述的,可以实施非原位预处理工艺。在图6的实例中,应用于器件604的TaN层的预处理工艺可以包括WCl5气体处理。然而,如上所述,其它的[Cl]和/或氟[F]基金属前体可以用于实施此处描述的预处理工艺。之后,可以在预处理的TaN层上方依次原位沉积TiAlC层和TiN层。制造的具有器件604的最终器件测量为具有等于约-560mV的平带电压(Vfb)。因此,与没有经受预处理工艺的器件602相比,用于器件604的WCl5气体处理产生了约-180mV Vfb偏移。换句话说,用于器件604的WCl5气体处理对TaN层产生了约~180mV带边偏移。在一些实施例中,“带边偏移”可以用于描述导带边缘偏移(例如,用于NMOS晶体管)或价带边缘偏移(例如,用于PMOS晶体管),从而使得预处理工艺可以表述为已经调节功函层(例如,TaN层)的功函数。
参照器件606,在TaN层的沉积之后,例如,如参照方法402/404的以上描述的,可以实施原位预处理工艺。在图6的实例中,应用于器件604的TaN层的预处理工艺可以包括WCl5气体处理。然而,如上所述,其它的[Cl]和/或氟[F]基金属前体可以用于实施此处描述的预处理工艺。在实施预处理工艺(例如,用于器件606的TaN层)之后,被处理的衬底可以保持在用于实施预处理工艺的处理系统/室内,以避免衬底暴露于外部环境(例如,处理系统的外部)并且避免暴露的层(例如,TaN层的)的潜在的再氧化。因此,在实施预处理工艺之后,可以在预处理的TaN层上方依次原位沉积TiAlC层和TiN层。制造的包括器件606的最终器件测量为具有等于约-587mV的平带电压(Vfb)。因此,与实施非原位预处理的器件604相比,用于器件606的结合原位的WCl5气体处理和TiAlC和TiN层的依次沉积产生了约-27mV Vfb偏移。换句话说,与器件604相比,用于器件606的结合原位的WCl5气体处理和TiAlC和TiN层的依次沉积对TaN层产生了约额外的~27mV带边偏移。
此处描述的各个实施例提供了超越现有技术的若干优势。应该明白,不是所有优势都有必要已经在此处讨论,没有特定优势对于所有实施例都是需要的,并且其他实施例可以提供不同的优势。例如,此处讨论的实施例包括针对预沉积处理(例如,功函层的)以及在预处理的层上方的随后的原子层沉积(ALD)工艺(例如,随后的ALD金属层沉积)的方法和结构。此外,如上所述,可以有效地采用本发明的实施例以完成功函调节。在各个实施例中,预沉积处理工艺(预处理工艺)包括通过使用氯[Cl]和/或氟[F]基金属前体预浸泡或预处理工艺清洗氧化表面(例如,功函层的)。此处描述的预处理工艺可以有效地缓解金属表面负载效应(例如,功函层的),从而产生改进的器件阈值电压(Vt)。因此,本发明的至少一些优势包括:在诸如群集工具(例如,ALD群集工具,其中,室群集在一起)的处理系统中一同/顺序实施(例如,原位)的适当的下一金属层(例如,另一功函层、阻挡层、覆盖层或其它适当的下一金属层)的沉积之前,通过使用[Cl]和/或氟[F]基金属前体预浸泡工艺处理功函设定层(例如,N-型功函层)来改进器件Vt。如上所述,本发明的实施例可以同时用于改善间隙填充效果(例如,通过ALD工艺的使用)。可以肯定的是,在一些实施例中,单独的非原位预处理(例如,功函层的)和随后的下一金属层的沉积也可以提供一些优势(例如,阈值电压改进)。
因此,本发明的一个实施例描述了用于制造半导体器件的方法,其中,该方法包括在衬底上方形成栅极介电层,并且在栅极介电层上方沉积功函金属层。在一些实施例中,实施包括功函金属层的预处理工艺的第一原位工艺。例如,预处理工艺去除了功函金属层的氧化层以形成处理的功函金属层。在一些实施例中,在实施第一原位工艺之后,实施包括在处理的功函金属层上方的另一金属层的沉积工艺的第二原位工艺。
在上述方法中,其中,在处理系统的第一室中实施所述第一原位工艺,并且其中,在所述处理系统的第二室中实施所述第二原位工艺。
在上述方法中,其中,在处理系统的第一室中实施所述第一原位工艺,并且其中,在所述处理系统的第二室中实施所述第二原位工艺,当保持所述处理系统的真空条件时,实施所述第一原位工艺和所述第二原位工艺。
在上述方法中,其中,所述预处理工艺包括选自由TiClx、TaClx、TiFx、HfClx、WFx和WClx组成的组中的前体,其中,x在1和6之间。
在上述方法中,其中,所述功函金属层包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi和TiAlN的至少一种。
在上述方法中,其中,在200摄氏度至600摄氏度的温度下沉积所述功函金属层。
在上述方法中,其中,在300摄氏度至1000摄氏度的温度下实施所述预处理工艺。
在上述方法中,其中,所述预处理工艺包括选自由TiClx、TaClx、TiFx、HfClx、WFx和WClx组成的组中的前体,其中,x在1和6之间,所述前体的流率在100sccm和8000sccm之间。
在上述方法中,其中,通过原子层沉积来沉积所述功函金属层和所述另一金属层。
在上述方法中,其中,所述另一金属层包括TiAlC层。
在上述方法中,其中,所述另一金属层包括TiAlC层,还包括实施第三原位工艺,所述第三原位工艺包括在所述TiAlC层上方沉积TiN层。
在上述方法中,其中,所述预处理工艺偏移了所述功函金属层的带边。
在另一实施例中,讨论了在排空的处理系统的第一室中在衬底上方形成栅极介电层的方法。之后,当保持处理系统的真空条件时,在排空的处理系统的第二室中在栅极介电层上方沉积功函金属层。在一些实施例中,当保持排空的处理系统的真空条件时,该衬底转移至排空的处理系统的第三室,并且在第三室中实施功函金属层的预处理工艺,从而形成处理的功函金属层。例如,之后,当保持排空的处理系统的真空条件时,该衬底可以转移至排空的处理系统的第四室,并且可以在第四室中在处理的功函金属层上方沉积随后的金属层。
在上述方法中,其中,所述预处理工艺从所述功函金属层的顶面去除氧化层。
在上述方法中,其中,所述功函金属层包括N-型功函金属层。
在上述方法中,其中,所述随后的金属层包括TiAlC层。
在上述方法中,还包括在所述TiAlC层上方沉积TiN层。
在又另一实施例中,讨论了包括具有在其上形成的栅极堆叠件的衬底的器件。例如,该器件还包括设置在衬底上方的栅极介电层、设置在栅极介电层上方的具有非氧化表面的预处理的功函金属层以及设置在预处理的功函金属层的非氧化表面上方的随后的金属层。在各个实施例中,当保持多室处理系统的真空条件时,预处理的功函金属层和随后的金属层包括依次在多室处理系统内形成的原子层沉积(ALD)沉积的层。
在上述处理系统中,其中,所述预处理工艺包括在预处理温度和流率下,将前体引入至所述第三室,其中,所述前体包括TiClx、TaClx、TiFx、HfClx、WFx和WClx的至少一种,其中,“x”等于1至6,其中,所述预处理温度为300℃至1000℃,并且其中,所述流率为100sccm至8000sccm。
在上述处理系统中,其中,所述随后的金属层包括TiAlC层。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体器件制造的方法,包括:
在衬底上方形成栅极介电层;
在所述栅极介电层上方沉积功函金属层;
实施第一原位工艺,所述第一原位工艺包括所述功函金属层的预处理工艺,其中,所述预处理工艺去除了所述功函金属层的氧化层以形成处理的功函金属层;以及
在实施所述第一原位工艺之后,实施第二原位工艺,所述第二原位工艺包括在所述处理的功函金属层上方的另一金属层的沉积工艺。
2.根据权利要求1所述的方法,其中,在处理系统的第一室中实施所述第一原位工艺,并且其中,在所述处理系统的第二室中实施所述第二原位工艺。
3.根据权利要求2所述的方法,其中,当保持所述处理系统的真空条件时,实施所述第一原位工艺和所述第二原位工艺。
4.根据权利要求1所述的方法,其中,所述预处理工艺包括选自由TiClx、TaClx、TiFx、HfClx、WFx和WClx组成的组中的前体,其中,x在1和6之间。
5.根据权利要求1所述的方法,其中,所述功函金属层包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi和TiAlN的至少一种。
6.根据权利要求1所述的方法,其中,在200摄氏度至600摄氏度的温度下沉积所述功函金属层。
7.根据权利要求1所述的方法,其中,在300摄氏度至1000摄氏度的温度下实施所述预处理工艺。
8.根据权利要求4所述的方法,其中,所述前体的流率在100sccm和8000sccm之间。
9.一种半导体器件制造的方法,包括:
在排空的处理系统的第一室中,在衬底上方形成栅极介电层;
当保持处理系统的真空条件时,在所述排空的处理系统的第二室中在所述栅极介电层上方沉积功函金属层;
当保持所述排空的处理系统的真空条件时,将所述衬底转移至所述排空的处理系统的第三室,并且在所述第三室中实施所述功函金属层的预处理工艺,从而形成处理的功函金属层;以及
当保持所述排空的处理系统的真空条件时,将所述衬底转移至所述排空的处理系统的第四室,并且可以在所述第四室中在所述处理的功函金属层上方沉积随后的金属层。
10.一种处理系统,包括:
多个处理室,所述多个处理室的每个均邻接包括转移臂的晶圆处理室,所述转移臂将衬底从所述多个处理室的一个转移至另一个;
真空系统,连接至所述多个处理室和所述晶圆处理室,其中,所述真空系统保持所述多个处理室的每个和所述晶圆处理室的真空条件;
所述多个处理室的第一室,配置为在所述衬底上方沉积栅极介电层;
所述多个处理室的第二室,配置为在所述栅极介电层上方沉积功函金属层;
所述多个处理室的第三室,配置为实施所述功函金属层的预处理工艺并且从而形成处理的功函金属层;以及
所述多个处理室的第四室,配置为在所述处理的功函金属层上方沉积随后的金属层。
CN201610900900.0A 2015-10-20 2016-10-17 半导体器件制造的方法和处理系统 Active CN106992118B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562244097P 2015-10-20 2015-10-20
US62/244,097 2015-10-20
US15/192,570 US9978601B2 (en) 2015-10-20 2016-06-24 Methods for pre-deposition treatment of a work-function metal layer
US15/192,570 2016-06-24

Publications (2)

Publication Number Publication Date
CN106992118A true CN106992118A (zh) 2017-07-28
CN106992118B CN106992118B (zh) 2020-09-08

Family

ID=58524181

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610900900.0A Active CN106992118B (zh) 2015-10-20 2016-10-17 半导体器件制造的方法和处理系统

Country Status (4)

Country Link
US (2) US9978601B2 (zh)
KR (1) KR101928147B1 (zh)
CN (1) CN106992118B (zh)
TW (1) TWI618122B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230097400A1 (en) * 2020-09-04 2023-03-30 Applied Materials, Inc. Pmos high-k metal gates

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US20180233574A1 (en) * 2017-02-10 2018-08-16 Purdue Research Foundation Silicon carbide power transistor apparatus and method of producing same
KR102633489B1 (ko) * 2017-07-13 2024-02-06 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트에 대한 낮은 두께 의존성 일 함수 nMOS 집적
KR102295721B1 (ko) 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US11088258B2 (en) 2017-11-16 2021-08-10 Samsung Electronics Co., Ltd. Method of forming multiple-Vt FETs for CMOS circuit applications
US10770353B2 (en) * 2017-11-16 2020-09-08 Samsung Electronics Co., Ltd. Method of forming multi-threshold voltage devices using dipole-high dielectric constant combinations and devices so formed
KR102495082B1 (ko) 2018-06-12 2023-02-01 삼성전자주식회사 반도체 장치
US11088029B2 (en) * 2018-09-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stack treatment
US11501999B2 (en) * 2018-09-28 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt fill for gate structures
US10770563B2 (en) 2018-10-24 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and patterning method for multiple threshold voltages
US10872826B2 (en) * 2018-10-31 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
WO2020131296A1 (en) * 2018-12-21 2020-06-25 Applied Materials, Inc. Processing system and method of forming a contact
US11257921B2 (en) * 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11289578B2 (en) 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US11362002B2 (en) 2020-01-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusting work function through adjusting deposition temperature

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN101779275A (zh) * 2007-07-25 2010-07-14 3M创新有限公司 用氟化组合物移除杂质的方法
CN102146553A (zh) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 沉积含金属膜于具有图案化构造的基板上的方法
CN104254914A (zh) * 2012-04-26 2014-12-31 应用材料公司 制造金属栅极的方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US9166020B2 (en) * 2011-03-01 2015-10-20 United Microelectronics Corp. Metal gate structure and manufacturing method thereof
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
CN103681276B (zh) * 2012-09-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
US20150325447A1 (en) * 2013-01-18 2015-11-12 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US9190409B2 (en) 2013-02-25 2015-11-17 Renesas Electronics Corporation Replacement metal gate transistor with controlled threshold voltage
US9059089B2 (en) * 2013-02-28 2015-06-16 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US9455150B2 (en) * 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
KR102216575B1 (ko) * 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9425103B2 (en) * 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US20160163603A1 (en) * 2014-12-08 2016-06-09 International Business Machines Corporation Pfet gate stack materials having improved threshold voltage, mobility and nbti performance
US9343372B1 (en) * 2014-12-29 2016-05-17 GlobalFoundries, Inc. Metal stack for reduced gate resistance
US9552992B2 (en) * 2015-02-27 2017-01-24 Globalfoundries Inc. Co-fabrication of non-planar semiconductor devices having different threshold voltages
TWI635535B (zh) * 2015-03-10 2018-09-11 聯華電子股份有限公司 具有不同臨界電壓的金屬閘極的半導體製程及半導體結構
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN101779275A (zh) * 2007-07-25 2010-07-14 3M创新有限公司 用氟化组合物移除杂质的方法
CN102146553A (zh) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 沉积含金属膜于具有图案化构造的基板上的方法
CN104254914A (zh) * 2012-04-26 2014-12-31 应用材料公司 制造金属栅极的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230097400A1 (en) * 2020-09-04 2023-03-30 Applied Materials, Inc. Pmos high-k metal gates

Also Published As

Publication number Publication date
US20170110324A1 (en) 2017-04-20
US20180261459A1 (en) 2018-09-13
TWI618122B (zh) 2018-03-11
CN106992118B (zh) 2020-09-08
KR101928147B1 (ko) 2018-12-11
TW201724204A (zh) 2017-07-01
US9978601B2 (en) 2018-05-22
KR20170046088A (ko) 2017-04-28

Similar Documents

Publication Publication Date Title
CN106992118A (zh) 半导体器件制造的方法和处理系统
KR102195673B1 (ko) 원자층 증착 방법들 및 그것의 구조물들
CN107017156B (zh) 原子层沉积方法及其结构
TWI538217B (zh) 鰭式場效電晶體裝置及其製作方法
US9721955B2 (en) Structure and method for SRAM FinFET device having an oxide feature
CN108231876A (zh) 半导体结构及其制造方法
US11799014B2 (en) Gate structure and methods thereof
KR102090772B1 (ko) 게이트 구조물 및 그 방법
TWI473148B (zh) 半導體元件及其製法
TWI611516B (zh) 形成具有不同閾値電壓的半導體裝置的方法
CN114512443A (zh) 半导体结构的制造方法
CN113809015A (zh) 用于功函数工程的三层高k栅极介电堆叠
US20230106314A1 (en) Methods for pre-deposition treatment of a work-function metal layer
CN110349915B (zh) 一种半导体器件制备方法及制备得到的半导体器件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant