CN106960876A - 鳍式场效晶体管器件的接触结构 - Google Patents

鳍式场效晶体管器件的接触结构 Download PDF

Info

Publication number
CN106960876A
CN106960876A CN201611075617.5A CN201611075617A CN106960876A CN 106960876 A CN106960876 A CN 106960876A CN 201611075617 A CN201611075617 A CN 201611075617A CN 106960876 A CN106960876 A CN 106960876A
Authority
CN
China
Prior art keywords
contact
grid
mask layer
layer
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201611075617.5A
Other languages
English (en)
Inventor
张哲诚
林志翰
曾鸿辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106960876A publication Critical patent/CN106960876A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

揭露接触结构、鳍式场效晶体管器件及其形成方法。一种接触结构包括源极/漏极区、掩模层、接触件以及遮蔽图案。所述源极/漏极区位于两个栅堆叠之间。掩模层位于所述栅堆叠上方且具有对应于所述源极/漏极区的开口。所述接触件电性连接至所述源极/漏极区、穿过所述掩模层的所述开口,且突出于所述掩模层的上方和下方。所述遮蔽图案位于所述掩模层与所述接触件之间且与所述掩模层实体接触。

Description

鳍式场效晶体管器件的接触结构
技术领域
本发明实施例是涉及接触结构、鳍式场效晶体管器件及其形成方法。
背景技术
半导体集成电路(IC)产业经历了快速的成长。在IC材料和设计技术方面的技术精进使IC有世代的演进,相较于前一世代,下一世代的IC体积更小且电路更为复杂。在集成电路进化的过程中,功能密度(亦即,每芯片面积的互连器件的数量)不断地增加,而几何尺寸(即,可使用制造过程所产生的最小器件或线)不断地缩小。这样的按比例缩小工艺通常通过提高生产效率以及降低相关成本来提供益处。
这种按比例缩小增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造中的类似发展。举例来说,引进例如鳍式场效晶体管的三维晶体管来代替平面晶体管。尽管现有的鳍式场效晶体管器件及其形成方法对于它们的预期目的通常已经足够,然而它们不是在所有方面都令人完全满意。
发明内容
根据本发明的一些实施例,一种鳍式场效晶体管器件的接触结构(contactstructure)包括源极/漏极区、掩模层(mask layer)、接触件(connector)以及遮蔽图案(shielding pattern)。所述源极/漏极区位于两个栅堆叠(gate stacks)之间。掩模层位于所述栅堆叠上方且具有对应于所述源极/漏极区的开口。所述接触件电性连接至所述源极/漏极区、穿过所述掩模层的所述开口,且突出于所述掩模层的上方和下方。所述遮蔽图案位于所述掩模层与所述接触件之间且与所述掩模层实体接触(physical contact)。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明实施例的各个方面。请注意,根据产业中的标准实务,各种特征未按比例绘制。实际上,为了清楚地讨论,各种特征的尺寸可以任意地增大或减小。
图1A至图1E为根据一些实施例所绘示的鳍式场效晶体管器件的形成方法的横截面示意图。
图2为根据一些实施例所绘示的鳍式场效晶体管器件的横截面示意图。
图3A至图3E为根据替代性实施例所绘示的鳍式场效晶体管器件的形成方法的横截面示意图。
图4为根据一些实施例所绘示的鳍式场效晶体管器件的形成方法的流程图。
图5为根据一些实施例所绘示的鳍式场效晶体管器件的部分立体图。
图6为根据替代性实施例所绘示的鳍式场效晶体管器件的部分立体图。
具体实施方式
以下揭露内容提供许多不同的实施例或实例,用于实现所提供标的物的不同特征。以下所描述的构件及配置的具体实例是为了以简化的方式传达本揭露为目的。当然,这些仅仅为实例而非用以限制。举例来说,于以下描述中,在第一特征上方或在第一特征上形成第二特征可包括第二特征与第一特征形成为直接接触的实施例,且亦可包括第二特征与第一特征之间可形成有额外特征使得第二特征与第一特征可不直接接触的实施例。此外,本揭露在各种实例中可使用相同的器件符号和/或字母来指代相同或类似的部件。器件符号的重复使用是为了简单及清楚起见,且并不表示所欲讨论的各个实施例和/或配置本身之间的关系。
另外,为了易于描述附图中所示出的一个构件或特征与另一构件或特征的关系,本文中可使用例如「在…下」、「在…下方」、「下部」、「在…上」、「在…上方」、「上部」及类似术语的空间相对术语。除了附图中所示出的定向之外,所述空间相对术语意欲涵盖器件在使用或操作时的不同定向。设备可被另外定向(旋转90度或在其他定向),而本文所用的空间相对术语相应地做出解释。
图1A至图1E为根据一些实施例所绘示的鳍式场效晶体管器件的形成方法的横截面示意图。
请参照图1A,提供具有一或多个鳍102的衬底100。在一些实施例中,衬底100包括含硅衬底、绝缘体上硅(SOI)衬底、硅锗(SiGe)衬底,或由其他合适的半导体材料所形成的衬底。取决于设计需求,衬底100为P型衬底或N型衬底且可具有位于其中的掺杂区。掺杂区可配置为用于N型鳍式场效晶体管器件或P型鳍式场效晶体管器件。在一些实施例中,衬底100具有形成于其上的隔离层。具体而言,隔离层覆盖鳍102的下部,裸露出鳍102的上部。在一些实施例中,隔离层为浅沟槽隔离(STI)结构。
在一些实施例中,衬底100具有形成于其上的至少两个栅堆叠111、形成于栅堆叠111的侧壁上的间隙壁104、形成于其中的源极/漏极区106,以及形成于栅堆叠111侧边与位于源极/漏极区106上方的第一介电层108。
在一些实施例中,图1A的中间结构的形成方法包括:形成横跨鳍102的两个虚设栅堆叠;于虚设栅堆叠的侧壁上形成间隙壁104;于各鳍102的两侧处形成源极/漏极区106;于虚设栅堆叠的侧边与源极/漏极区106上方形成第一介电层108;以及用栅堆叠111置换虚设栅堆叠。
在替代性实施例中,图1A的中间结构的形成方法包括:形成横跨鳍102的至少两个栅堆叠111;于栅堆叠111的侧壁上形成间隙壁104;于各鳍102的两侧处形成源极/漏极区106;以及于栅堆叠111的侧边与源极/漏极区106上方形成第一介电层108。
在一些实施例中,各栅堆叠111包括栅介电层110以及位于栅介电层110上的栅极112。在一些实施例中,栅堆叠111的延伸方向不同于(例如,垂直于)鳍102的延伸方向。
在一些实施例中,栅极112包括含金属栅极或置换栅极(replacement gates)。含金属栅极包括金属,例如TiN、TaC、TaN、TaSiN、HfN、ZrSi2、MoSi2、TaSi2、NiSi2、WN或类似材料。当本发明实施例的器件为N型鳍式场效晶体管器件时,栅极112包括N型功函数金属材料。当本发明实施例的器件为P型鳍式场效晶体管器件时,栅极112包括P型功函数金属材料。在替代性实施例中,栅极112为含硅栅极。含硅栅极包括非晶硅、多晶硅或其组合。
在一些实施例中,各栅介电层110包括介电常数大于约10的高k材料。在一些实施例中,高k材料包括金属氧化物,例如ZrO2、Gd2O3、HfO2、BaTiO3、Al2O3、LaO2、TiO2、Ta2O5、Y2O3、STO、BTO、BaZrO、HfZrO、HfLaO、HfTaO、HfTiO、其组合或合适的材料。在替代性实施例中,栅介电层110可视情况包括硅酸盐(silicate),例如HfSiO、LaSiO、AlSiO、其组合或合适的材料。
在一些实施例中,各栅介电层110围绕对应的栅极112的侧壁与底部以及各鳍102的顶部与侧壁,如图1A所示。在替代性实施例中,各栅介电层110仅形成于对应的栅极112的底部与衬底100之间。在一些实施例中,如氧化硅层的接口层(interfacial layer)形成于栅介电层110与各鳍102之间。
在一些实施例中,间隙壁104包括含氮介电材料、含碳介电材料或两者,且间隙壁104的介电常数小于约10、或甚至小于约5。在一些实施例中,间隙壁104包括SiN、SiCN、SiOCN、SiOR(其中R为烷基,例如CH3,C2H5或C3H7)、SiC、SiOC、SiON、其组合或类似材料。在一些实施例中,间隙壁104的形成方法包括于衬底100上形成间隙壁材料层,以及通过各向异性刻蚀工艺以部分移除间隙壁材料层。
在一些实施例中,于各栅堆叠111两侧形成两个源极/漏极区106,且源极/漏极区106中的一者位于相邻栅堆叠111之间。在一些实施例中,源极/漏极区106包括用于P型鳍式场效晶体管器件的硅锗(SiGe)。在替代性实施例中,源极/漏极区106包括用于N型鳍式场效晶体管器件的碳化硅(SiC)、磷化硅(SiP),SiCP或SiC/SiP多层结构。在一些实施例中,有需要时,源极/漏极区106可视情况植入N型掺质或P型掺质。在一些实施例中,于相同侧的相邻源极/漏极区106彼此分开。在替代性实施例中,于相同侧的相邻源极/漏极区106彼此相连。于形成源极/漏极区106之后,可通过硅化(siliciding)源极/漏极区106的上部以形成硅化物区。
在一些实施例中,第一介电层108包括:氮化物,例如氮化硅;氧化物,例如氧化硅、掺磷硅酸盐玻璃(PSG)、掺硼硅酸盐玻璃(BSG)、掺硼磷硅酸盐玻璃(BPSG);其组合或类似材料。在一些实施例中,第一介电层108的顶表面实质上齐平于栅极112的顶表面。在一些实施例中,于形成源极/漏极区106的步骤之后以及形成第一介电层108的步骤之前,形成接触蚀刻终止层(contact etch stop layer;CESL),且CESL包括SiN、SiC或类似材料。
请参照图1B,于栅堆叠111上方形成掩模层114。在一些实施例中,掩模层114配置为保护栅极112免于后续接触孔(contact hole)定义步骤期间的损伤。在一些实施例中,掩模层114作为栅极保护层,因此,各栅堆叠111中不需包括现有的顶盖层(cap layer)或硬掩模层(hard mask layer)。在一些实施例中,于栅堆叠111及第一介电层108上毯覆式形成(blanket-formed)掩模层114。具体而言,掩模层114形成为与栅极112、间隙壁104及第一介电层108实体接触。在一些实施例中,掩模层114与栅介电层110实体接触,如图1B所示。在替代性实施例中,掩模层114未与栅介电层110实体接触。在一些实施例中,掩模层114包括SiN、SiC、SiCN、SiON、SiCON、其组合或类似材料,且由合适的工艺技术所形成,例如化学气相沉积(CVD)、电浆增强CVD(PECVD)、原子层沉积(ALD)、远程电浆ALD(RPALD)、电浆增强ALD(PEALD)、其组合或类似方法。在一些实施例中,掩模层114的厚度为约5埃至100埃。
接着,于掩模层114上方形成第二介电层116。在一些实施例中,于掩模层114上毯覆式形成第二介电层116。在一些实施例中,第二介电层116与第一介电层108包括相同的材料。在替代性实施例中,第二介电层116与第一介电层108由不同的材料所制成。在一些实施例中,第二介电层116包括:氮化物,例如氮化硅;氧化物,例如氧化硅、PSG、BSG、BPSG;其组合或类似材料,且由合适的工艺技术所形成,例如旋涂法、CVD、可流动式CVD(flowableCVD)、PECVD、ALD、其组合或类似方法。
请参照图1C,图案化或部分移除第二介电层116、掩模层114及第一介电层108,以形成分别对应于源极/漏极区106的开口117(或称为“接触孔”)。在一些实施例中,图案化步骤包括进行蚀刻工艺。在一些实施例中,各开口117穿过第二介电层116、掩模层114及第一介电层108并裸露出对应的源极/漏极区106。本文中,图案化第二介电层、图案化掩模层及图案化第一介电层分别称为第二介电层116a、掩模层114a及第一介电层108a。在一些实施例中,开口117具有倾斜侧壁,如图1C所示。在替代性实施例中,至少一些开口117具有实质上垂直侧壁。在一些实施例中,开口117的深宽比(aspect ratio)大于约3、大于约10、大于约15或甚至大于约20。在一些实施例中,开口117具有实质上相同的尺寸。在替代性实施例中,开口117的尺寸可不同。此外,开口117的形状可为圆形、椭圆形、方形、长方形、条形、多边形、或任何所需形状。
在一些实施例中,于形成第二介电层116之后,于第二介电层116上形成具有多个开口的硬掩模层。在一些实施例中,硬掩模层的开口对应于后续形成的接触孔的所要位置。在一些实施例中,硬掩模层包括光阻材料、介电材料或其组合,且由CVD、ALD、旋涂法、其组合或类似方法所形成。接着,使用硬掩模层作为蚀刻掩模,移除部分第二介电层116、部分掩模层114及部分第一介电层108。
从另一观点来看,于图1C的图案化步骤之后,第二介电层116a及掩模层114a中的每一者形成为具有多个开口117或网眼(mesh holes)位于其中的网状结构(meshstructure),且第一介电层108a形成为围绕栅堆叠111且覆盖部分源极/漏极区106。
请继续参照图1C,于开口117的侧壁与底部上保形地形成遮蔽层118。具体而言,遮蔽层118沿着开口117的侧壁形成于第二介电层116上方。在一些实施例中,遮蔽层118形成为与第二介电层116a、掩模层114a、第一介电层108a及源极/漏极区106实体接触。在一些实施例中,遮蔽层118与掩模层114a包括相同的材料。在替代性实施例中,遮蔽层118与掩模层114a由不同的材料所制成。在一些实施例中,遮蔽层118包括SiN、SiC、SiCN、SiON、SiCON、其组合或类似材料,且由合适的沉积技术所形成,例如CVD、PECVD、ALD、RPALD、PEALD、其组合或类似方法。在一些实施例中,遮蔽层118的厚度为约5埃至100埃。
请参照图1D,部分移除遮蔽层118以于开口117中分别形成遮蔽图案118a。在一些实施例中,通过各向异性刻蚀工艺移除部分遮蔽层118,且剩余的遮蔽层形成遮蔽图案118a,遮蔽图案118a中的每一者形成为沿着开口117的侧壁的间隙壁形式(spacer form)。本文中,遮蔽图案118有时称为“遮蔽间隙壁(shielding spacer)”。在一些实施例中,从另一观点来看,各遮蔽图案118a形成为环状并裸露出对应的源极/漏极区106。
请参照图1E,于开口117中形成接触件124。在一些实施例中,接触件124意欲代表电性连接至源极/漏极区106的任何形式的导电材料及结构。在一些实施例中,接触件124包括金属,例如钨、铜、其合金或任何具有合适的阻值与填隙能力的金属材料。在一些实施例中,于形成遮蔽图案118a之后,于衬底100上形成金属材料层,且金属材料层填入开口117中。金属材料层由溅镀、CVD、电镀(electrochemical plating;ECP)、其组合或类似方法所形成。接着,进行如CMP的平坦化步骤,移除部分金属材料层直到裸露出第二介电层116a的顶面。在一些实施例中,接触件124的顶面与第二介电层116a的顶面实质上共平面。由此形成鳍式场效晶体管器件10。
在一些实施例中,各接触件124堆叠于对应的源极/漏极区106上,而遮蔽图案118a围绕接触件124且位于接触件124与各相邻的栅堆叠111之间。此外,遮蔽图案118a与掩模层114a彼此接触,一起形成保护结构120,以避免接触件124接触栅堆叠111的栅极112。换句话说,接触件124与栅极112通过本发明实施例的保护结构120而彼此绝缘,以避免接触件124与栅极112之间不想要的电性连接。以此方式,可加宽制作接触件124的工艺裕度,且器件的可靠度因而改良。
具体而言,由于开口117或接触孔的高深宽比,用于定义接触孔的步骤的工艺裕度相当窄。在一些实施例中,当过蚀刻及/或对准偏差发生时,开口117的位置偏移及/或开口117的形状变形,且因此,栅极112的部分或边角(corner)裸露出来,如图2所示。然而,在本发明实施例中,接触件124与栅极112之间不会发生短路。具体而言,掩模层114a覆盖栅极112的顶面且遮蔽图案118a’覆盖栅极112的裸露出的边角,因此接触件124完全绝缘于栅极112。
在上述实施例中,是以遮蔽图案118a覆盖开口117的全部侧壁为例来说明,但并不用以解释为限定本发明实施例。
图3A至图3E为根据替代性实施例所绘示的鳍式场效晶体管器件的形成方法的横截面示意图。图1A至图1E的方法类似于图3A至图3E的方法,其不同处在于:于图3A至图3E的方法中,遮蔽图案118b形成为仅覆盖开口117的部分侧壁。两者之间的差异处将说明于下,相同处则不再赘述。
请参照图3A,提供图1B的中间结构。接着,图案化或部分移除第二介电层116、掩模层114及第一介电层108,以形成分别对应于源极/漏极区106的开口117,如图3B所示。在一些实施例中,图案化步骤包括进行蚀刻工艺。在一些实施例中,各开口117穿过第二介电层116及掩模层114并裸露出第一介电层108。在一些实施例中,各开口117更延伸至部分第一介电层108中。本文中,图案化第二介电层、图案化掩模层及图案化第一介电层分别称为第二介电层116a、掩模层114a及第一介电层108b。
请继续参照图3B,于开口117的侧壁与底部上保形地形成遮蔽层118。在一些实施例中,遮蔽层118形成为与第二介电层116a、掩模层114a及第一介电层108b实体接触,但未与源极/漏极区106接触。
请参照图3C,部分移除遮蔽层118以于开口117中分别形成遮蔽图案118b。在一些实施例中,通过各向异性刻蚀工艺移除部分遮蔽层118,且剩余的遮蔽层形成遮蔽图案118b,其中作为间隙壁的遮蔽图案118b分别位于开口117的侧壁上。在一些实施例中,从另一观点来看,各遮蔽图案118b形成为环状并裸露出部分第一介电层108b。
请参照图3D,使用遮蔽图案118b及第二介电层116a作为蚀刻掩模,加深开口117,直到开口117裸露出源极/漏极区106。上述加深步骤称为自对准蚀刻工艺。
在一些实施例中,执行多步骤蚀刻工艺(例如,图3C至图3D的步骤)以形成接触窗开口,且此种多步骤蚀刻工艺有利于以较佳的蚀刻控制形成高深宽比的开口,且因此得到改良的开口轮廓。
请参照图3E,于开口117中形成接触件124,且接触件124电性连接至源极/漏极区106。由此形成鳍式场效晶体管器件20。
图1A至图1E以及图3A至图3E的上述工艺步骤可参照图4的流程图精简说明如下。
在步骤200,提供衬底100,衬底100具有形成于其上的栅堆叠111、形成于其中的源极/漏极区106,以及形成于栅堆叠111侧边与位于源极/漏极区106上方的第一介电层108,如图1A及图3A所示。在步骤202,于栅堆叠111上方形成掩模层114,如图1B及图3A所示。在步骤204,于掩模层114上方形成第二介电层116,如图1B及图3A所示。在步骤206,部分移除第二介电层116、掩模层114以及第一介电层108,以形成对应于源极/漏极区106的开口117,如图1C及图3B所示。在一些实施例中,图1C中所形成的开口117穿过第二介电层116、掩模层114以及第一介电层108并裸露出源极/漏极区106。在替代性实施例中,图3B中所形成的开口117穿过第二介电层116以及掩模层114并延伸至部分第一介电层108b中。在步骤208,于开口117的侧壁与底部上保形地形成遮蔽层118,如图1C及图3B所示。在步骤210,部分移除遮蔽层118以形成位于开口117的侧壁上的遮蔽图案118a/118b,如图1D及图3C所示。在步骤212,可视情况,使用遮蔽图案118b作为蚀刻掩模以加深开口117,直到开口117裸露出源极/漏极区106,如图3D所示。在步骤214,于开口117中形成接触件124,如图1E以及图3E所示。
将参照图1E、图2、图3E、图5及图6描述本发明实施例的鳍式场效晶体管器件的结构。
在一些实施例中,鳍式场效晶体管器件10/10’/20包括衬底100、至少两个栅堆叠111、间隙壁104、源极/漏极区106、第一介电层108a/108b以及接触件124。衬底100具有至少一鳍102。各栅堆叠111包括栅极112且横跨至少一鳍102。源极/漏极区106位于栅堆叠111侧边。在一些实施例中,源极/漏极区106位于栅堆叠111之间的衬底100中。接触件124位于源极/漏极区106上方。间隙壁104位于栅堆叠111的侧壁上,且各间隙壁104位于接触件124与对应的栅堆叠111之间。第一介电层108a/108b位于接触件124与间隙壁104之间。
请注意,在本发明实施例中,鳍式场效晶体管器件10/10’/20还包括保护结构120/120’/121,且保护结构120/120’/121包括彼此接触的掩模层114与遮蔽图案118a/118a’/118b。
遮蔽图案118a/118a’/118b围绕接触件124的至少部分侧壁。在一些实施例中,遮蔽图案118a/118a’围绕接触件124的全部侧壁,如图1E、图2及图5所示。具体而言,遮蔽图案118a/118a’与源极/漏极区106实体接触。在替代性实施例中,遮蔽图案118b围绕接触件124的上侧壁,如图3E及图6所示。具体而言,遮蔽图案118b未与源极/漏极区106实体接触。在一些实施例中,遮蔽图案118a完全绝缘于栅堆叠111中的栅极112,如图1E及图3E所示。在替代性实施例中,遮蔽图案118a’与栅堆叠111中的至少一栅极112实体接触,如图2所示。
掩模层114a从遮蔽图案118a/118a’/118b的中部(middle)或腰部(waist)延伸。在一些实施例中,遮蔽图案118a/118a’/118b的中部是指位于遮蔽图案118a/118a’/118b顶部与底部之间的部分。在一些实施例中,掩模层114a在水平方向上从遮蔽图案118a/118a’/118b的中部向外延伸。此外,掩模层114a与栅堆叠111的栅极112实体接触。在一些实施例中,掩模层114a不仅覆盖栅极112的顶面,更覆盖间隙壁104的顶面及/或第一介电层108a/108b的顶面。
在一些实施例中,掩模层114a以及遮蔽图案118a/118a’/118b中的每一者包括SiN、SiC、SiCN、SiON、SiCON或其组合。在一些实施例中,掩模层114与遮蔽图案118a/118a’/118b包括相同的材料。在一些实施例中,掩模层114a与遮蔽图案118a/118a’/118b中的每一者所包括的材料与第一介电层108a/108b或第二介电层116a所包括的材料具有不同的蚀刻选择比。
在一些实施例中,第一介电层108a/108b位于遮蔽图案118a/118b与间隙壁104之间。在一些实施例中,第一介电层108a围绕遮蔽图案118a的侧壁,如图1E所示。在替代性实施例中,第一介电层108b不仅围绕遮蔽图案118b的侧壁,更围绕遮蔽图案118b的底部,如图3E所示。
在一些实施例中,鳍式场效晶体管器件10/10’/20还包括第二介电层116a,且第二介电层116a位于掩模层114a上方且围绕遮蔽图案118a/118a’的上侧壁。
在一些实施例中,鳍式场效晶体管器件10/10’/20的接触结构包括源极/漏极区106、掩模层114a、接触件124以及遮蔽图案118a/118a’/118b。源极/漏极区106位于两个栅堆叠111之间。掩模层114a位于栅堆叠111上方且具有对应于源极/漏极区106的开口117。接触件124电性连接至源极/漏极区106、穿过掩模层114a的开口117,且突出于掩模层114a的上方和下方。在一些实施例中,当掩模层114a提供为具有多个分开的开口117位于其中的网状结构时,多个接触件124形成为分别穿过开口117。遮蔽图案118a/118a’/118b位于掩模层114a与接触件124之间且与掩模层114a及接触件124接触。
在上述实施例中,本发明实施例的接触结构应用于鳍式场效晶体管器件。然而,本发明实施例并不以此为限。在替代性实施例中,本发明实施例的接触结构可依工艺需求应用于平面器件。
在上述实施例中,栅介电层、栅极、间隙壁、第一介电层、掩模层、第二介电层、遮蔽图案以及接触件中的每一者均为单一层,其用来说明,并不用来解释为限定本发明实施例。在一些实施例中,当需要时,所述构件中的至少一者可为多层结构。
基于上述,在一些实施例中,提供一种围绕接触结构的强固的保护结构,以避免接触结构与邻接栅极之间不想要的电性连接。具体而言,本发明实施例的保护结构包括位于接触件与邻接栅极之间的遮蔽图案,以及位于邻接栅极上方且与遮蔽图案接触的掩模层。以此方式配置,可得到较宽的光刻/蚀刻工艺裕度、较小的光刻重叠需求、较佳的沟道隔离、较大的接触件至栅极的距离等。因此,现有的金属栅极损伤不会发生,且因而改良器件的效能及可靠度。
根据本发明的一些实施例,一种鳍式场效晶体管器件的接触结构包括源极/漏极区、掩模层、接触件以及遮蔽图案。所述源极/漏极区位于两个栅堆叠之间。掩模层位于所述栅堆叠上方且具有对应于所述源极/漏极区的开口。所述接触件电性连接至所述源极/漏极区、穿过所述掩模层的所述开口,且突出于所述掩模层的上方和下方。所述遮蔽图案位于所述掩模层与所述接触件之间且与所述掩模层实体接触。
在上述接触结构中,所述遮蔽图案围绕所述接触件的上侧壁。
在上述接触结构中,所述遮蔽图案围绕所述接触件的全部侧壁。
在上述接触结构中,所述掩模层包括SiN、SiC、SiCN、SiON、SiCON或其组合。
在上述接触结构中,所述遮蔽图案包括SiN、SiC、SiCN、SiON、SiCON或其组合。
在上述接触结构中,所述掩模层以及所述遮蔽图案包括相同的材料。
在上述接触结构中,所述掩模层与所述栅堆叠的栅极实体接触。
在上述接触结构中,所述遮蔽图案与所述栅堆叠中的至少一栅极实体接触。
在上述接触结构中,还包括位于所述遮蔽图案与各所述栅堆叠之间的间隙壁。
根据本发明的一些替代性实施例,一种鳍式场效晶体管器件包括衬底、栅堆叠、源极/漏极区、接触件、遮蔽图案以及掩模层。所述衬底具有至少一鳍。所述栅堆叠包括横跨所述至少一鳍的栅极。所述源极/漏极区位于所述栅堆叠侧边。所述接触件位于所述源极/漏极区上方。所述遮蔽图案围绕所述接触件的至少部分侧壁。所述掩模层从所述遮蔽图案的中部延伸且与所述栅极实体接触。
在上述鳍式场效晶体管器件中,所述遮蔽图案围绕所述接触件的上侧壁。
在上述鳍式场效晶体管器件中,所述遮蔽图案围绕所述接触件的全部侧壁。
在上述鳍式场效晶体管器件中,所述掩模层包括SiN、SiC、SiCN、SiON、SiCON或其组合。
在上述鳍式场效晶体管器件中,所述遮蔽图案包括SiN、SiC、SiCN、SiON、SiCON或其组合。
在上述鳍式场效晶体管器件中,还包括位于所述栅堆叠与所述遮蔽图案之间的间隙壁。
在上述鳍式场效晶体管器件中,还包括位于所述间隙壁与所述遮蔽图案之间的介电层。
根据本发明的另一些替代性实施例,一种鳍式场效晶体管器件的形成方法包括以下步骤。提供衬底,所述衬底具有形成于其上的栅堆叠、形成于其中的源极/漏极区、以及形成于所述栅堆叠侧边与位于所述源极/漏极区上方的第一介电层。于所述栅堆叠上方形成掩模层。于所述掩模层上方形成第二介电层。部分移除所述第二介电层、所述掩模层以及所述第一介电层,以形成对应于所述源极/漏极区的开口。于所述开口侧壁与底部上形成遮蔽层。部分移除所述遮蔽层以形成位于所述开口的所述侧壁上的遮蔽图案。于所述开口中形成接触件。
上述形成方法中,所述开口穿过所述第二介电层、所述掩模层以及所述第一介电层并裸露出所述源极/漏极区。
上述形成方法中,所述开口穿过所述第二介电层以及所述掩模层并裸露出所述第一介电层。
上述形成方法中,还包括使用所述遮蔽图案作为蚀刻掩模,加深所述开口直到所述开口裸露出所述源极/漏极区。
以上概述了数个实施例的特征,使所属领域的一般技术人员可更佳了解本揭露的态样。所属领域的一般技术人员应理解,其可轻易地使用本揭露作为设计或修改其他工艺与结构的依据,以实行本文所介绍的实施例的相同目的和/或达到相同优点。所属领域的一般技术人员还应理解,这种等效的配置并不悖离本揭露的精神与范畴,且所属领域的一般技术人员在不悖离本揭露的精神与范畴的情况下可对本文做出各种改变、置换以及变更。

Claims (1)

1.一种鳍式场效晶体管器件的接触结构,其特征在于包括:
源极/漏极区,位于两个栅堆叠之间;
掩模层,位于所述栅堆叠上方且具有对应于所述源极/漏极区的开口;
接触件,电性连接至所述源极/漏极区、穿过所述掩模层的所述开口,且突出于所述掩模层的上方和下方;以及
遮蔽图案,位于所述掩模层与所述接触件之间且与所述掩模层实体接触。
CN201611075617.5A 2016-01-08 2016-11-30 鳍式场效晶体管器件的接触结构 Pending CN106960876A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/990,797 2016-01-08
US14/990,797 US10032913B2 (en) 2016-01-08 2016-01-08 Contact structures, FinFET devices and methods of forming the same

Publications (1)

Publication Number Publication Date
CN106960876A true CN106960876A (zh) 2017-07-18

Family

ID=59275916

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611075617.5A Pending CN106960876A (zh) 2016-01-08 2016-11-30 鳍式场效晶体管器件的接触结构

Country Status (3)

Country Link
US (2) US10032913B2 (zh)
CN (1) CN106960876A (zh)
TW (1) TWI762458B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110299320A (zh) * 2018-03-21 2019-10-01 联华电子股份有限公司 半导体装置以及其制作方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10032913B2 (en) * 2016-01-08 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures, FinFET devices and methods of forming the same
CN108091570B (zh) * 2016-11-23 2020-09-04 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
FR3059940B1 (fr) * 2016-12-12 2021-03-19 Commissariat Energie Atomique Procede de formation d'un empilement et empilement
KR20190034023A (ko) 2017-09-22 2019-04-01 삼성전자주식회사 집적회로 소자
US11195753B2 (en) * 2018-09-18 2021-12-07 International Business Machines Corporation Tiered-profile contact for semiconductor

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9029226B2 (en) * 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
KR102021768B1 (ko) * 2013-03-15 2019-09-17 삼성전자 주식회사 반도체 장치의 제조 방법 및 그 방법에 의해 제조된 반도체 장치
US20140264632A1 (en) * 2013-03-18 2014-09-18 Globalfoundries Inc. Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US9059164B2 (en) * 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
KR102085525B1 (ko) * 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
US9257428B2 (en) * 2014-04-24 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9466491B2 (en) * 2014-05-02 2016-10-11 Globalfoundries Inc. Methods of forming a semiconductor device with a spacer etch block cap and the resulting device
KR102191219B1 (ko) * 2014-05-14 2020-12-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US9502565B2 (en) * 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
KR102235578B1 (ko) * 2014-11-19 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10032913B2 (en) * 2016-01-08 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures, FinFET devices and methods of forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110299320A (zh) * 2018-03-21 2019-10-01 联华电子股份有限公司 半导体装置以及其制作方法
CN110299320B (zh) * 2018-03-21 2023-11-21 联华电子股份有限公司 半导体装置以及其制作方法

Also Published As

Publication number Publication date
US20170200821A1 (en) 2017-07-13
US10283641B2 (en) 2019-05-07
TWI762458B (zh) 2022-05-01
TW201725614A (zh) 2017-07-16
US20180350970A1 (en) 2018-12-06
US10032913B2 (en) 2018-07-24

Similar Documents

Publication Publication Date Title
US11424243B2 (en) Semiconductor device and manufacturing method thereof
US10516033B2 (en) Semiconductor device and manufacturing method thereof
US20220319906A1 (en) Insulating cap on contact structure
CN106158864B (zh) 用于FinFET隔离的方法和结构
TWI579904B (zh) 製造finfet裝置的方法
CN108288604A (zh) 接触插塞及其制造方法
CN106960876A (zh) 鳍式场效晶体管器件的接触结构
CN104867967B (zh) 半导体器件及其制造方法
US10950729B2 (en) Contact structure with insulating cap
CN106560931A (zh) 半导体器件、finfet器件及其形成方法
CN107068757B (zh) Finfet器件及其形成方法
TW201732899A (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
CN109727854A (zh) 半导体装置及其形成方法
US10693004B2 (en) Via structure with low resistivity and method for forming the same
CN106960845A (zh) 鳍式场效应晶体管器件
US11804489B2 (en) Semiconductor device and manufacturing method thereof
US9627537B1 (en) FinFET device and method of forming the same
CN115241186A (zh) 具有电介质特征的半导体结构及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170718

WD01 Invention patent application deemed withdrawn after publication