CN106771958A - 具有低功率扫描系统的集成电路 - Google Patents

具有低功率扫描系统的集成电路 Download PDF

Info

Publication number
CN106771958A
CN106771958A CN201511035883.0A CN201511035883A CN106771958A CN 106771958 A CN106771958 A CN 106771958A CN 201511035883 A CN201511035883 A CN 201511035883A CN 106771958 A CN106771958 A CN 106771958A
Authority
CN
China
Prior art keywords
flip
signal
latch
flop element
scan
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201511035883.0A
Other languages
English (en)
Other versions
CN106771958B (zh
Inventor
王岭
丁黄胜
张旺根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Priority to CN201511035883.0A priority Critical patent/CN106771958B/zh
Priority to US15/256,618 priority patent/US9964596B2/en
Publication of CN106771958A publication Critical patent/CN106771958A/zh
Application granted granted Critical
Publication of CN106771958B publication Critical patent/CN106771958B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及具有低功率扫描系统的集成电路,可在扫描模式中操作的集成电路包括由级联触发器单元形成的扫描链。每个触发器单元都包括接收第一数据信号并产生第一锁存信号的主锁存器,接收第一锁存信号并产生第二锁存信号的从锁存器和具有分别连接至主和从锁存器用于接收第一输入信号第二锁存信号的第一和第二输入的多路复用器,并且其取决于触发信号产生扫描数据输出信号。第一输入信号是第一数据信号和第一锁存信号中的一种。由触发信号对提供至从锁存器的时钟信号进行门控。

Description

具有低功率扫描系统的集成电路
技术领域
本发明涉及到测试集成电路(IC),并且更特别地,涉及测试具有低功率扫描系统的集成电路。
背景技术
在集成电路(IC)中广泛使用扫描链以获得IC的内部节点的接入,从而通过经由IC的触发器通过测试数据来简化IC的测试。图1是包括彼此平行布置的多个扫描链102的常规扫描系统100的示意性框图。每个扫描链102都由多个级联的常规触发器单元104形成。
图2是图1的触发器单元104中的一个的示意性框图。触发器单元104包括主锁存器106、具有连接至主锁存器106的输出端子的输入端子的从锁存器108,和具有连接至主锁存器106的输入端子的输出端子的多路复用器110。触发器单元104被配置为以功能模式和扫描模式这两种模式中的一种操作。多路复用器接收数据输入信号(D)和扫描数据输入信号(SDI),并取决于扫描启用(enable)信号产生第一数据信号,该扫描启用信号在扫描模式中是有效的。将时钟信号提供至主锁存器和从锁存器。
对于全扫描设计,在扫描测试期间,在IC中的全部触发器单元104和连接至触发器单元104的全部组合逻辑单元都可同时触发,引起非常高的功耗。因为正常功能模式中仅一些组合逻辑和触发器被触发,所以这种高功耗比正常功能模式中的功耗大很多,并且这种高功耗会超出IC的功率额定值。进一步地,随着IC芯片密度和速度增加,扫描移位功率问题在加剧。因此,需要提供一种低功率扫描系统。
附图说明
当结合附图阅读时,将更好理解下文本发明的优选实施例的具体描述。借助于示例说明了本发明,并且其不限于附图,附图中相似参考标记表示相似元件。
图1是由多个常规触发器单元形成的常规扫描测试系统的示意性框图;
图2是图1的常规触发器单元的示意性框图;
图3是根据本发明的实施例的触发器单元的示意性框图;
图4是根据本发明的另一实施例的触发器单元的示意性框图;
图5是根据本发明的实施例的具有由多个触发器单元形成的低功率扫描测试系统的IC的示意性框图;
图6是根据本发明的实施例的由图3的多个触发器单元形成的图5的IC的扫描链的示意性框图;
图7是示出根据本发明的实施例在扫描模式期间实行的周期的时序图;和
图8是根据本发明的一个实施例的低功率扫描方法的流程图。
具体实施方式
附图的具体描述意在作为本发明的当前优选实施例的描述,并不是意在表示实践本发明的仅有形式。将理解,可通过囊括在本发明的精神和范围内的不同实施例实现相同或等同功能。
在本发明的实施例中,提供了可以扫描模式操作的IC。IC包括由多个级联触发器单元形成的至少一个扫描链,该级联触发器单元接收扫描输入信号(SI)并且输出扫描输出信号(SO)。每个触发器单元都包括主锁存器、从锁存器和第一多路复用器,主锁存器接收第一数据信号并基于时钟信号产生第一锁存信号,从锁存器连接至主锁存器并且接收第一锁存信号并基于时钟信号产生第二锁存信号,第一多路复用器具有分别连接至主锁存器和从锁存器用于接收第一输入信号和第二锁存信号的第一和第二输入端子,该第一多路复用器取决于触发信号产生扫描数据输出信号(SDO)。第一逻辑门利用触发信号对提供至从锁存器的时钟信号进行门控(gate)。第一输入信号是第一数据信号和第一锁存信号中的一种。多个触发器单元至少包括接收扫描输入信号的第一触发器单元和输出扫描输出信号的最后触发器单元。
在另一个实施例中,本发明提供了一种用于在可以扫描模式操作的IC上执行扫描测试的方法,IC包括由多个级联触发器单元形成的至少一个扫描链。多个触发器单元至少包括用于接收扫描输入信号的第一触发器单元和用于输出扫描输出信号的最后触发器单元,并且每个触发器都包括串联连接的主锁存器和从锁存器。该方法包括:将时钟信号提供至多个触发器单元;基于时钟信号经由第一触发器单元的扫描数据输入端子将扫描输入信号提供至扫描链;在时钟信号的每个时钟周期中从多个触发器单元中选择所选触发器单元;使得链中未选触发器单元的从锁存器禁用(disable)且使其旁路;将扫描输入信号移位至所选触发器单元;并且输出所选触发器单元的从锁存器中的数据作为扫描链的扫描输出信号。从最后触发器单元至第一触发器单元中依次选择多个触发器单元。
现在参考图3,示出了根据本发明的实施例的可操作在扫描模式中的所提出的触发器单元200的示意性框图。触发器单元200包括主锁存器202和从锁存器204。主锁存器202在数据输入端子处接收第一数据信号并在时钟输入端子处接收时钟信号(CLK),并且基于时钟信号在输出端子处产生第一锁存信号。从锁存器204具有连接至主锁存器202的输出端子的数据输入端子。从锁存器204在数据输入端子处接收第一锁存信号并在时钟输入端子处接收时钟信号,并且基于时钟信号在输出端子处产生第二锁存信号。在优选的实施例中,主锁存器202接收第一数据信号并在每个时钟周期的第二半段产生第一锁存信号,并且从锁存器204接收第一锁存信号并在下一时钟周期的第一半段产生第二锁存信号。
触发器单元200也包括第一多路复用器206,其具有连接至主锁存器202的数据输入端子用于接收第一数据信号的第一输入端子,和连接至从锁存器204的输出端子用于接收第二锁存信号的第二输入端子。第一多路复用器206取决于触发信号(TRIG)产生扫描数据输出信号(SDO),以使得主和从锁存器202和204取决于触发信号被旁路。触发器单元200还包括第一逻辑门208,其利用触发信号对提供至从锁存器204的时钟信号进行门控。在优选的实施例中,第一逻辑门208是AND门。以这种方式,触发信号用于防止触发器单元200不希望的功耗。
在优选的实施例中,触发器单元200可操作在功能模式和扫描模式中。触发器单元200还包括第二多路复用器210,其具有分别接收数据输入信号(D)和扫描数据输入信号(SDI)的第一和第二输入端子以及连接至主锁存器202的数据输入端子的输出端子。第二多路复用器210取决于在扫描模式中有效的扫描启用信号(SE)产生第一数据信号。
图4是根据本发明的另一实施例的可在扫描模式中操作的触发器单元300的示意性框图。除了第一多路复用器206的第一输入端子连接至主锁存器202的输出端子用于接收第一锁存信号以使得从锁存器204基于触发信号被旁路之外,触发器单元300与图3中示出的触发器单元200基本相同。
图5是根据本发明的实施例的具有由n个扫描链402_1~402_n形成的低功率扫描测试系统的IC 400的示意性框图,这里n是自然数。n个扫描链402_1~402_n中的每一个都在扫描链的相应的扫描输入端子处接收相应的扫描输入信号(SI_i),并在扫描链的相应的扫描输出端子处输出相应的扫描输出信号(SO_i),这里i是自然数,且1≤i≤n,并且每个扫描链都包括m个级联触发器单元,其中m是自然数,且m≥2。每个扫描链中的m个级联触发器单元至少包括接收扫描输入信号(SI_i)的第一触发器单元和输出扫描输出信号(SO_i)的最后触发器单元。在优选的实施例中,图5中的触发器单元是图3中示出的触发器单元200。在另一优选的实施例中,图5中的触发器单元是图4中示出的触发器单元300。在图5中所示的示例中,扫描链402_1由四个触发器单元404a~404d形成,其中触发器单元404a是扫描链402_1中接收扫描输入信号(SI_1)的第一触发器单元,并且触发器单元404d是扫描链402_1中输出扫描输出信号(SO_1)的最后触发器单元。
IC 400还包括连接至n个扫描链402_1~402_n并向n个扫描链402_1~402_n的每个扫描链中的触发器单元提供触发信号的控制单元406,这里,提供至n个扫描链402_1~402_n的每个扫描链中的每个触发器单元的触发信号在扫描模式中依次被断言(asserted)。在优选的实施例中,提供至每个扫描链中的每个触发器单元的触发信号,从至扫描链的最后触发器单元的触发信号到至扫描链的第一触发器单元的触发信号依次被断言。
控制单元406包括接收时钟信号并基于时钟信号产生计数信号的计数器408。在功能模式中,计数器408被扫描启用信号复位。在优选的实施例中,在每个时钟周期的第二半段的上升沿触发计数器408。控制单元406还包括连接至计数器408的解码器410,其接收计数信号并产生独热信号(one-hot signal),用于在扫描模式中依次断言(assert)至扫描链的每个触发器单元的触发信号,控制单元406还包括连接至解码器410的多个第二逻辑门,其每一个都用于基于扫描启用信号对独热信号的相应位进行门控。在优选的实施例中,第二逻辑门包括OR门,并且独热信号的每一位都对反向扫描启用信号进行门控。在图5中所示的示例中,多个第二逻辑门包括第一至第四逻辑门412a~412d,其通过反向扫描启用信号分别地对由解码器410产生的独热信号的相应位进行门控,并针对每个扫描链的四个触发器单元分别产生第一至第四触发信号trig0~trig3。
在优选的实施例中,n个扫描链402_1~402_n形成了触发器单元的阵列414。阵列包括m列触发器单元,其至少包括由n个扫描链中每个扫描链的第一触发器单元形成的第一列,和由n个扫描链中每个扫描链的最后触发器单元形成的最后列。在图5中示出的示例中,触发器单元的阵列414由4列触发器单元416a~416d形成,其中列416a是第一列并且列416d是最后列。每一列的触发器单元共享由控制单元406提供的公共列触发信号。在扫描模式中,每一列的列触发信号从最后列的列触发信号至第一列的列触发信号依次被断言。
图6是根据本发明的实施例的由触发器单元404a~404d形成的图5的扫描链402_1的示意性框图,触发器单元404a~404d中的每个触发器都具有图3的触发器单元200的结构。第一触发器单元404a的扫描数据输入端子接收扫描链402_1的扫描输入信号SI_1,且最后触发器单元404d的扫描数据输出端子输出扫描链402_1的扫描输出信号SO_1。触发器单元404a~404c中的每个触发器都具有连接至下一触发器单元的扫描数据输入端子的扫描数据输出端子。触发器单元404a~404d中的每个触发器都接收触发信号trig0~trig3的相应触发信号。
图7是示出根据本发明的实施例在图6的扫描链402_1的扫描模式期间实行的周期的时序图。通过断言扫描启用信号,在扫描模式中配置集成电路400。通过断言时钟信号的一个时钟周期(从相应时钟周期的第二半段的上升沿开始到下一时钟周期的第二半段的上升沿)的相应触发信号,为时钟信号的一个时钟周期依次选择触发器单元404a~404d中的每个触发器单元。从至最后触发器单元404d的触发信号trig3到至第一触发器单元404a的触发信号strig0,触发信号trig0~trig3依次被断言。基于时钟信号将扫描输入信号提供至扫描链402_1。每个触发器单元的主锁存器L1接收第一数据信号并在每个时钟周期的第二半段在输出q处产生第一锁存信号,并且所选触发器单元的从锁存器L2接收第一锁存信号并在下个时钟周期的第一半段在输出q处产生第二锁存信号。每个未选触发器单元的从锁存器被旁路且保持第二锁存信号,以使得扫描链402_1在相应时钟周期的第二半段输出所选触发器单元的第二锁存信号。以这种方式,在扫描模式中防止了未选触发器单元不希望的功耗。
参考图8,示出了根据本发明的一个实施例的在图5的IC 400上执行的扫描方法的流程图600,图5的IC 400包括由多个触发器单元形成的至少一个扫描链。该至少一个扫描链在扫描输入端子处接收扫描输入信号,并且在扫描输出端子处输出扫描输出信号。多个触发器单元至少包括接收扫描输入信号的第一触发器单元和输出扫描输出信号的最后触发器单元。
在步骤602处开始,通过激活扫描启用信号在扫描模式中配置触发器单元。在优选的实施例中,激活扫描启用信号包括将扫描启用信号设置成逻辑高。
在步骤604处,时钟信号被提供至触发器单元。在优选的实施例中,可在步骤602之前、之后或与其同时执行步骤604。
在步骤606处,基于时钟信号通过第一触发器单元的扫描数据输入端子将扫描输入信号提供至扫描链。
在步骤608,在第一时钟周期,通过断言提供至最后触发器单元的触发信号,选择最后触发器单元作为所选触发器单元。提供至扫描链中的剩余触发器单元(未选触发器单元的)的触发信号保持为低。在优选的实施例中,提供至所选触发器单元的触发信号在时钟周期的第二半段的上升沿被断言,并保持达一个时钟周期。
在步骤610处,通过利用位于逻辑低状态的相应触发信号对提供至从锁存器的时钟信号进行门控,使得在扫描链中的未选触发器单元的从锁存器禁用,并且通过利用相应触发信号配置未选触发器单元的第一多路复用器使上述从锁存器旁路。
在步骤612处,扫描输入信号移位至所选触发器单元,至少使位于扫描链的扫描输入端子和所选触发器单元之间的未选触发器的从锁存器旁路,并且在步骤614处,所选触发器单元的从锁存器中的数据被输出作为扫描链的扫描输出信号,至少使位于所选触发器单元和扫描链的扫描输出端子之间的未选触发器的从锁存器旁路。在优选的实施例中,未选触发器单元中每一个的主和从锁存器都被旁路。链中未选触发器单元的每个从锁存器都保持以前移位至每个从锁存器的数据,以使得防止在扫描中未选触发器单元不希望的功耗。在优选的实施例中,读取扫描输出信号以校验集成电路。
在步骤616处,如果已经选择了(连续地)扫描链中的所有触发器单元,则完成扫描,否则,在步骤618处,恰在所选触发器单元之前的触发器单元被选择作为触发器单元,基于提供至扫描链中的多个触发器单元的触发信号,将扫描链中的剩余触发器单元配置为未选触发器单元,以及之后,再次执行步骤610。
在优选的实施例中,IC 400包括多个扫描链,其形成触发器单元的阵列。该阵列包括多列触发器单元,至少包括由扫描链的第一触发器单元形成的第一列和由扫描链的最后触发器单元形成的最后列。在每个时钟周期,将触发器单元的相应列选择作为所选列,并且阵列中未选列的触发器单元的从锁存器禁用且被旁路。在优选的实施例中,阵列中的列从最后列至第一列被依次选择。
虽然已经说明并描述了本发明的各实施例,但是将清楚,本发明不仅限于这些实施例。此外,尽管将某些信号描述为高或者低有效,但是本领域技术人员应当理解,可将电路设计成或者高或者低有效,因此在上述示例中指明逻辑状态仅是用于说明的目的,且不限制本发明的发明构思。在不脱离如权利要求中描述的本发明的精神和范围的情况下,多种修改、变化、变形、替换和等价物对于本领域技术人员都是显而易见的。

Claims (13)

1.一种可在扫描模式中操作的集成电路,包括:
至少一个扫描链,由多个级联触发器单元形成,其中扫描链接收扫描输入信号SI并输出扫描输出信号SO,并且其中每个触发器单元包括:
主锁存器,接收第一数据信号并基于时钟信号产生第一锁存信号;
连接至主锁存器的从锁存器,接收第一锁存信号并基于时钟信号产生第二锁存信号;
第一多路复用器,具有分别连接至主锁存器和从锁存器用于接收第一输入信号和第二锁存信号的第一和第二输入端子,并取决于触发信号产生扫描数据输出信号SDO,其中第一输入信号是第一数据信号和第一锁存信号中的一种;和
第一逻辑门,利用触发信号对提供至从锁存器的时钟信号进行门控,并且
其中多个触发器单元至少包括接收扫描输入信号的第一触发器单元和输出扫描输出信号的最后触发器单元。
2.如权利要求1所述的集成电路,其中集成电路还可在功能模式中操作,并且其中每个触发器单元还包括:
连接至主锁存器的第二多路复用器,所述第二多路复用器接收数据输入信号D和扫描数据输入信号SDI,并取决于扫描模式中有效的扫描启用信号产生第一数据信号。
3.如权利要求1所述的集成电路,还包括连接至扫描链的控制单元,其在扫描模式中依次断言多个触发器单元中每个触发器单元的触发信号。
4.如权利要求3所述的集成电路,其中至每个触发器单元的触发信号是从至最后触发器单元的触发信号到至第一触发器单元的触发信号依次被断言的。
5.如权利要求3所述的集成电路,其中控制单元包括:
计数器,其接收时钟信号,并基于时钟信号产生计数信号,其中计数器在功能模式中被扫描启用信号复位;
连接至计数器的解码器,接收计数信号并产生独热信号用于在扫描模式中依次断言至扫描链中每个触发器单元的触发信号;和
连接至解码器的多个第二逻辑门,每一个第二逻辑门都用于基于扫描启用信号对独热信号的相应位进行门控。
6.如权利要求5所述的集成电路,其中计数器在每个时钟周期的第二半段的上升沿被触发。
7.如权利要求3所述的集成电路,其中至少一个扫描链包括形成触发器单元的阵列的多个扫描链,其中阵列包括多个触发器单元列,所述触发器单元列至少包括由每个扫描链中的第一触发器单元形成的第一列和由每个扫描链中的最后触发器单元形成的最后列,其中每一列的触发器单元共享由控制单元提供的公共列触发信号,并且其中在扫描模式中,每一列的列触发信号从最后列的列触发信号至第一列的列触发信号依次被断言。
8.如权利要求1所述的集成电路,其中主锁存器接收第一数据信号并在每个时钟周期的第二半段产生第一锁存信号,并且从锁存器接收第一锁存信号并在下一时钟周期的第一半段产生第二锁存信号。
9.一种用于对可在扫描模式中操作的集成电路IC执行扫描测试的方法,其中IC包括由多个级联触发器单元形成的至少一个扫描链,其中多个触发器单元至少包括用于接收扫描输入信号的第一触发器单元,和用于输出扫描输出信号的最后触发器单元,并且其中每个触发器都包括串联连接的主锁存器和从锁存器,所述方法包括:
将时钟信号提供至多个触发器单元;
基于时钟信号,经由第一触发器单元的扫描数据输入端子将扫描输入信号提供至扫描链;
在时钟信号的每个时钟周期中从多个触发器单元选择所选触发器单元;
使得链中的未选触发器单元的从锁存器禁用且被旁路;
将扫描输入信号移位至所选触发器单元;和
输出所选触发器单元的从锁存器中的数据作为扫描链的扫描输出信号,其中从最后触发器单元至第一触发器单元依次选择多个触发器单元。
10.如权利要求9所述的方法,其中基于扫描启用信号,多个级联触发器单元可在扫描模式和功能模式之间操作,所述方法还包括:
在第一逻辑状态设置扫描启用信号以在扫描模式中配置多个触发器单元;和
使链中的未选触发器单元的主锁存器旁路。
11.如权利要求9所述的方法,其中:
每个触发器单元的主锁存器接收扫描数据输入信号并在每个时钟周期的第二半段产生第一锁存信号,并且所选触发器单元的从锁存器接收第一锁存信号并在下一时钟周期的第一半段产生第二锁存信号;以及
在时钟信号的每个时钟周期中,链中的未选触发器单元的每个从锁存器都保持第二锁存信号。
12.如权利要求9所述的方法,其中:
使得链中的未选触发器单元的从锁存器禁用且被旁路包括:对提供至未选触发器单元的从锁存器的时钟信号进行门控;并且
从最后触发器单元至第一触发器单元依次选择扫描链中的触发器单元。
13.如权利要求9所述的方法,其中IC包括形成触发器单元的阵列的多个扫描链,其中阵列包括多个触发器单元列,所述触发器单元列至少包括由扫描链的第一触发器单元形成的第一列,和由扫描链的最后触发器单元形成的最后列,其中在扫描模式期间,所述方法还包括:
在时钟信号的每个时钟周期中从多个列中选择所选列的触发器单元;和
使得阵列中未选列中的触发器单元的从锁存器禁用且被旁路,
其中从最后列到第一列依次选择阵列中的列。
CN201511035883.0A 2015-11-19 2015-11-19 具有低功率扫描系统的集成电路 Expired - Fee Related CN106771958B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201511035883.0A CN106771958B (zh) 2015-11-19 2015-11-19 具有低功率扫描系统的集成电路
US15/256,618 US9964596B2 (en) 2015-11-19 2016-09-04 Integrated circuit with low power scan system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201511035883.0A CN106771958B (zh) 2015-11-19 2015-11-19 具有低功率扫描系统的集成电路

Publications (2)

Publication Number Publication Date
CN106771958A true CN106771958A (zh) 2017-05-31
CN106771958B CN106771958B (zh) 2020-11-03

Family

ID=58720891

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201511035883.0A Expired - Fee Related CN106771958B (zh) 2015-11-19 2015-11-19 具有低功率扫描系统的集成电路

Country Status (2)

Country Link
US (1) US9964596B2 (zh)
CN (1) CN106771958B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019184253A1 (zh) * 2018-03-29 2019-10-03 深圳市华星光电半导体显示技术有限公司 扫描驱动电路
CN110866368A (zh) * 2018-08-15 2020-03-06 台湾积体电路制造股份有限公司 触发器标准单元、存储器器件及其操作方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107783030B (zh) * 2016-08-29 2021-04-23 恩智浦美国有限公司 具有低功率扫描系统的集成电路
US11047911B2 (en) 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Asynchronous circuits and test methods
US10948538B2 (en) * 2019-06-09 2021-03-16 Nxp Usa, Inc. Register for at-speed scan testing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1159680A (zh) * 1995-09-05 1997-09-17 三菱电机株式会社 触发器电路,扫描路径和存储电路
CN1365061A (zh) * 2001-01-09 2002-08-21 深圳市中兴集成电路设计有限责任公司 一种直接存储器访问控制器系统
CN101258679A (zh) * 2005-09-05 2008-09-03 Nxp股份有限公司 用于半导体集成电路的逻辑模块
US7843218B1 (en) * 2009-10-28 2010-11-30 Freescale Semiconductor, Inc. Data latch with structural hold
CN103576082A (zh) * 2012-08-06 2014-02-12 飞思卡尔半导体公司 低功率扫描触发器单元

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5920575A (en) * 1997-09-19 1999-07-06 International Business Machines Corporation VLSI test circuit apparatus and method
US6898749B2 (en) 2000-09-20 2005-05-24 Texas Instruments Incorporated IC with cache bit memory in series with scan segment
US7729884B2 (en) * 2004-03-31 2010-06-01 Yu Huang Compactor independent direct diagnosis of test hardware
US7797603B2 (en) 2006-07-21 2010-09-14 Janusz Rajski Low power decompression of test cubes
JP5537158B2 (ja) 2007-02-12 2014-07-02 メンター グラフィックス コーポレイション 低消費電力スキャンテスト技術および装置
US7779320B2 (en) 2008-02-21 2010-08-17 Lsi Corporation Low power scan shifting with random-like test patterns
US7772906B2 (en) * 2008-04-09 2010-08-10 Advanced Micro Devices, Inc. Low power flip flop through partially gated slave clock
US8627160B2 (en) 2010-04-21 2014-01-07 Lsi Corporation System and device for reducing instantaneous voltage droop during a scan shift operation
US8332698B2 (en) * 2010-05-21 2012-12-11 Apple Inc. Scan latch with phase-free scan enable
US20120209556A1 (en) 2011-02-02 2012-08-16 Mentor Graphics Corporation Low Power Scan-Based Testing
US8566658B2 (en) 2011-03-25 2013-10-22 Lsi Corporation Low-power and area-efficient scan cell for integrated circuit testing
CN102305912B (zh) 2011-07-29 2014-06-04 清华大学 数据可压缩的低功耗集成电路测试装置及其方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1159680A (zh) * 1995-09-05 1997-09-17 三菱电机株式会社 触发器电路,扫描路径和存储电路
CN1365061A (zh) * 2001-01-09 2002-08-21 深圳市中兴集成电路设计有限责任公司 一种直接存储器访问控制器系统
CN101258679A (zh) * 2005-09-05 2008-09-03 Nxp股份有限公司 用于半导体集成电路的逻辑模块
US7843218B1 (en) * 2009-10-28 2010-11-30 Freescale Semiconductor, Inc. Data latch with structural hold
CN103576082A (zh) * 2012-08-06 2014-02-12 飞思卡尔半导体公司 低功率扫描触发器单元

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019184253A1 (zh) * 2018-03-29 2019-10-03 深圳市华星光电半导体显示技术有限公司 扫描驱动电路
US10789893B1 (en) 2018-03-29 2020-09-29 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Scan driving circuit
CN110866368A (zh) * 2018-08-15 2020-03-06 台湾积体电路制造股份有限公司 触发器标准单元、存储器器件及其操作方法
CN110866368B (zh) * 2018-08-15 2023-08-08 台湾积体电路制造股份有限公司 触发器标准单元、存储器器件及其操作方法
US11824541B2 (en) 2018-08-15 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flip flop standard cell

Also Published As

Publication number Publication date
US9964596B2 (en) 2018-05-08
US20170146599A1 (en) 2017-05-25
CN106771958B (zh) 2020-11-03

Similar Documents

Publication Publication Date Title
US10338136B2 (en) Integrated circuit with low power scan system
CN102183721B (zh) 多时钟域测试方法及测试电路
CN106771958A (zh) 具有低功率扫描系统的集成电路
US7710801B2 (en) Circuitry and method for an at-speed scan test
US7941720B2 (en) Scan test circuit and scan test control method
US8086925B2 (en) Method and system for LBIST testing of an electronic circuit
CN102970013A (zh) 基于扫描链的芯片内部寄存器复位方法及复位控制装置
JPH06118134A (ja) 集積回路試験システム
JP5275136B2 (ja) 半導体集積回路
CN107665033A (zh) 一种具有复位去毛刺功能的数字逻辑电路模块
CN110210258B (zh) 芯片网表级混淆防御硬件木马的装置、方法及检测方法
US5978947A (en) Built-in self-test in a plurality of stages controlled by a token passing network and method
US20230096746A1 (en) Semiconductor integrated circuit, a method for testing the semiconductor integrated circuit, and a semiconductor system
US6237123B1 (en) Built-in self-test controlled by a token network and method
US8448008B2 (en) High speed clock control
US7539913B2 (en) Systems and methods for chip testing
US9666301B2 (en) Scannable memories with robust clocking methodology to prevent inadvertent reads or writes
CN100383546C (zh) 半导体集成电路的测试方法和半导体集成电路
US7830195B2 (en) Self-test design methodology and technique for root-gated clocking structure
US11262403B2 (en) Semiconductor device
US20080059853A1 (en) Semiconductor Integrated Circuit
US7895490B2 (en) Method and system for testing an electronic circuit to identify multiple defects
CN105911461B (zh) 环形链分时复用测试端口的测试结构
CN115078978A (zh) 数字集成电路的扫描链测试方法、系统及数字集成电路
US20050044461A1 (en) Semiconductor device test circuit and semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
CB02 Change of applicant information

Address after: Texas in the United States

Applicant after: NXP USA, Inc.

Address before: Texas in the United States

Applicant before: FREESCALE SEMICONDUCTOR, Inc.

CB02 Change of applicant information
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20201103

Termination date: 20211119

CF01 Termination of patent right due to non-payment of annual fee