CN106298640A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN106298640A
CN106298640A CN201510818586.7A CN201510818586A CN106298640A CN 106298640 A CN106298640 A CN 106298640A CN 201510818586 A CN201510818586 A CN 201510818586A CN 106298640 A CN106298640 A CN 106298640A
Authority
CN
China
Prior art keywords
implant
ditches
ground floor
irrigation canals
pore former
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510818586.7A
Other languages
English (en)
Other versions
CN106298640B (zh
Inventor
林伯俊
张庆裕
陈海清
包天
包天一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106298640A publication Critical patent/CN106298640A/zh
Application granted granted Critical
Publication of CN106298640B publication Critical patent/CN106298640B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3178Coating or filling in grooves made in the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Thermal Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)

Abstract

一种制造半导体的方法,包含接收具有基板及置于基板上的第一层的装置,其中第一层包含沟渠。此方法还包含于施加第一材料于第一层之上及沟渠之中,其中此第一材料包含填充物和与填充物化学键结的成孔剂。此方法更包含固化第一材料以形成多孔材料层。多孔材料层具有第一部分和第二部分,第一部分置于沟渠之中,而第二部分则置于第一层之上。第一和第二部分大致上含有相同百分比的硅、氧和碳。第一和第二部分大致上含有相同的孔隙率。因此,由第一材料所形成的多孔材料层于其第一部分和第二部分皆具有极佳的均匀性质,可提升装置的效能与稳定度。制备第一材料和应用其于半导体装置上的制程,皆属简易并可轻易整合至现有的制造流程里。此外,第一材料本身具有成本效益。

Description

半导体装置及其制造方法
技术领域
本揭示内容是有关于半导体集成电路领域,特别是有关于一种具有间隙填充材料的半导体集成电路及其制造方法。
背景技术
为了追求更高的装置密度、更高的效能和更低的成本,半导体产业已经进步到纳米节点的制程技术。在集成电路(IC)的演化中,功能性密度(即单位晶圆面积上的内连线装置数量)已普遍增加,而几何尺寸(即使用的制程所能制造出最小组件或线)则已普遍缩减。一般而言,尺寸缩减制程借着提升生产效率并降低相关成本而提供益处;然而,也同时提高处理和制造集成电路的复杂程度。为了实现这些益处,需要发展相关的集成电路制造技术。
举例来说,在装置尺寸持续缩减的制程中,间隙填充(或沟渠填充)介电材料的使用将遇到困难。新世代的装置通常具有复杂的表面形貌,需填入介电材料于其中以提供平坦的上表面以利于后续的制程。一般而言,现存的间隙填充介电材料通常具有多分子成分,其中一部分倾向于停驻于表面之上,而另一部分则倾向于停驻在表面之下和/或侧边。此现象将造成介电填充层具有不均匀的薄膜性质,且可能造成装置剥落问题和/或其他问题。
发明内容
在一例示性面向中,本揭露内容是针对一种制造半导体的方法。此方法包含接收具有基板和置于基板上的第一层的装置,其中第一层具有沟渠。此方法还包含施加第一材料于第一层之上和沟渠之中,其中第一材料含有填充物及与填充物化学键结的成孔剂。此方法更包含固化第一材料。
在另一例示性面向,本揭露内容是针对一种方法。此方法包含形成具有填充物和与填充物化学键结的成孔剂的前驱物溶液。此方法还包含应用前驱物溶液于包含置于基板上的第一层的装置中;其中,第一层具有沟渠;其中,前驱物溶液形成前驱物层于第一层之上和沟渠之中。此方法更包含固化前驱物层以形成多孔材料层,其具有第一部分于沟渠之内及第二部分于第一层之上。
在又一例示性面向中,本揭露内容是针对具有基板、位于基板上且具有第一沟渠的金属材料层和具有第一部份及第二部份的多孔材料层的装置。第一部分是置于沟渠之内,而第二部分则置于金属材料层之上。第一和第二部分含有大致相同成分百分比的各个元素(硅、氧和碳)。
因此,由第一材料所形成的多孔材料层于其第一部分和第二部分皆具有极佳的均匀性质,可提升装置的效能与稳定度。制备第一材料和应用其于半导体装置上的制程,皆属简易并可轻易整合至现有的制造流程里。此外,第一材料本身具有成本效益。
附图说明
当读到随附的附图时,从以下详细的叙述可充分了解本发明的各方面。值得注意的是,根据工业上的标准实务,各种特征不是按比例绘制。事实上,为了清楚的讨论,各种特征的尺寸可任意增加或减少。
图1A是根据本揭露内容中一个或多个实施例,一种制造半导体装置方法的流程图;
图1B是根据本揭露内容中一个或多个实施例,一种制备间隙填充介电材料方法的流程图;
图2A、图2B、图2C和图2D是根据一些实施例,依照图1A的方法所制造的半导体装置的剖面图;
图3A是根据本揭露内容的一些实施例,间隙填充介电材料的化学成分;
图3B绘示另一种间隙填充介电材料的化学成分;
图4是根据一些实施例,依照图1A的方法所制造的另一种半导体装置的剖面图;
其中,符号说明:
100方法 102、104、106、108、110步骤
120、122、124步骤 126前驱物溶液
200半导体装置 202基板
204第一层 206沟渠
208前驱物层 208A填充部分
208B主体部分 210多孔材料层
210A填充部分 210B主体部分
250装置 252基板
254金属层 260多孔材料层
260A填充部分 260B主体部分
300图 302虚线
304虚线 352虚线
354虚线 400装置
402基板 404特征
XX方向 ZZ方向。
具体实施方式
以下的揭露内容提供许多不同的实施例或实例,以实现本发明的不同特征。特定实例的组成及布局叙述如下,以简化本发明。当然这些仅是实例,并非用以限制。举例而言,在叙述中,第一特征形成于第二特征上方或之上时,随之而来可包含实施例,其中第一及第二特征形成以直接接触;且亦可包含实施例,其中额外的特征可形成于第一及第二特征之间,因此第一及第二特征可不直接接触。此外,本发明可在各实例中重复元件编号及/或文字。重复的目的在于简化且明确,但不在其中决定介于所讨论的多种实施例及/或组态之间的相对关系。
此外,空间上的相对用语,例如「在..之下」、「以下」、「下」、「上方」、「上」及其类,在此为了易于叙述可用以描述如图所示的元件或特征对于其他元件或特征的相对关系。除了图示所描绘的面向之外,空间上的相对用语意旨于围绕所使用或操作的装置的不同面向。要不然就是,设备可被导向(旋转90度或于其他面向),且在此所用的空间上的相对描述符号可据此同样的被解读。此外,「由…所制成」其意思表示「包含…」或「由…所构成」。
本揭露内容是有关于一种新型间隙填充介电材料及其于半导体制程上的应用。更特别地,此新型间隙填充介电材料包含主要的填充物和次要的成孔剂,其中,此成孔剂与主要的填充物之间具有化学键结。「成孔剂」一词指称任何添加于介电材料中,用作造孔剂的可移除的材料,其是分散于介电材料中的高分子粒子,并于后续制程中消失并形成孔洞。「孔洞」一词指称形成于介电材料中的孔洞。在各态样的实施例中,此新型的间隙填充介电材料可用于填充基板上的一个或多个材料层中的沟渠,并可在表面上形成均匀形貌的介电填充层。
在特定的实施例中,新型的间隙填充的介电材料用于填充基板上一个或多个材料层中的沟渠,以得到金属间的介电填充层。由于成孔剂与新型介电材料中的主要的填充物之间具有化学键结,金属间的介电填充层大致上提供不均匀的介电性质于金属沟渠内及金属层上。这替多层金属内连线结构(包含金属线)的临界尺寸缩减进一步提供方法。金属线用以连结各种的装置(如:晶体管、电阻、电容等)以形成集成电路。随着装置进一步缩小,金属线的临界尺寸也需要跟着缩小。
一种制造金属线的传统方法使用单金属镶嵌法制程或双层金属镶嵌法制程。在金属镶嵌法制程中,蚀刻介电层以形成介电沟渠,并填满金属于介电沟渠之中。接着进行化学机械研磨(CMP)以去除多余的金属,而形成金属线于介电沟渠之中。为了缩减金属线的临界尺寸,需要缩小介电沟渠的尺寸。然而,填入金属于微小的介电沟渠具有其困难之处,且形成的金属线可能包含孔洞于其中,并缺乏均匀的尺寸和性质。
在一种替代的方法中,沉积金属层于基板上,并蚀刻出金属沟渠于其中。剩余的金属材料形成金属线于基板上。之后形成介电材料于金属层上并填入介电材料于金属沟渠之内。由于金属线是自金属的一部分而形成,故其具有良好的均匀性。然而,在有间隙填充介电材料的情况下,使得金属间介电层具有均匀的性质是相当困难的。一般而言,现存的间隙填介电材料是化学化合物,其具有多个各自互相独立的分子成分。一般而言,部分的分子成分倾向于停留在金属沟渠中,而另一部分则倾向于停留在金属层上。此不均匀的金属间介电层可能造成不均匀的电容值,其影响信号的传输或甚至造成装置的剥离。如本揭露内容所示,新型间隙填充介电材料可处理上述的问题。
图1A和图1B是根据本揭露内容的各面向,绘示一种制备新型间隙填充介电材料的方法100及其于半导体制程上的应用。方法100仅为一例子,并不局限本发明的范围,本发明的范围明确描述于权利要求书中。可于方法100进行前、进行期间与进行后提供额外的步骤,且如下所述的一些步骤可由本方法中额外的实施例所取代、删除或变动。方法100将于后参照图1A及图1B进行叙述,并搭配图2A至图2D,其绘示各制程阶段的半导体装置200的剖面图。半导体装置200是作阐明之用,并不局限本揭露内容的实施例于各数量的装置、各数量的区域或各结构的组成。此外,半导体装置200可能为集成电路制造中的中间装置或其一部分。此集成电路可包含静态随机存取记忆体(SRAM)和/或其他逻辑电路、被动元件(如:电阻、电容和电感)和主动元件(如:P型场效晶体管(PFET)、N型场效晶体管(NFET)、鳍式晶体管(FinFET)、金氧半场效应晶体管(MOSFET)、互补式金氧半场效晶体管(CMOS)、双极性晶体管、高电压晶体管、高频率晶体管、其他记忆单元和其任意的组合)。
请参照图1A,于步骤102中,方法100是制备新型间隙填充介电材料,此介电材料是前驱物溶液126(参见图1B)。前驱物溶液126包含主要的填充物(或填充物)及成孔剂。在各态样的实施例中,填充物可能包含单体,如四甲氧硅烷(tetramethoxysilane,TMOS)、甲基三甲氧基硅烷(methyltrimethoxysilane,MTMS)、甲基三乙氧基硅烷(methyltriethoxysilane,MTES)及四乙基硅氧烷(tetraethyl orthosilicate,TEOS)。单体可由下列化学式表示之:
在化学式(1)中,R为烷基,例如:甲基、乙基、丙基或丁基。在一些实施例中,填充物可能包含两个或多个单体。此外,填充物可能包含亲水性单体或疏水性单体。其中,可藉由改变单体的比例以调整前驱物溶液126的性质。在各态样的实施例中,成孔剂可能包含团联聚合物,例如:双团联式共聚合物和三团联式共聚合物。在又一些实施例中,成孔剂包含-OH功能基于-EO-或-PO-单体的侧链上。在一实施例中,成孔剂为双团联式共聚合物,其具有下列的化学式:
在另一实施例中,成孔剂为三团联式共聚合物,其具有下列的化学式:
接续前驱物溶液126的制备,方法100是藉由将填充物及成孔剂混合于溶剂之中,并促使其产生化学反应以产生化学键结于填充物及成孔剂之间。图1B代表步骤102的一实施例,其是形成前驱物溶液126。请参照图1B,方法100(步骤120)形成具有填充物及成孔剂的混合物,并添加溶剂(例如:乙醇、水、水解催化剂(如氯化氢))于其中。随后,方法100(步骤122)于混合物中引发溶胶-凝胶反应以产生胶粒(colloid)。溶胶-凝胶反应可能进行于室温或于室温至100℃之间或更高。在一些实施例中,溶胶-凝胶反应可能持续30分钟或达24小时之久。此外,于溶胶-凝胶反应时,可能搅拌混合物以加速制程并得到更均匀的胶粒。溶胶-凝胶反应产生Si-O-[CH2CH2O]X键结于填充物单体及成孔剂之间,并可能产生额外的键结于填充物单体之间,其化学式如下所示:
如上所示,化学式(4)绘示一些介于填充物单体(和水)间的化学反应,而化学式(5)则绘示介于填充物单体和成孔剂之间的化学反应。如化学式(5)所示,成孔剂是通过Si-O-[CH2CH2O]X键与填充物键结。仍请参照图1B,方法100(步骤124)可能添加稀释溶剂于由溶胶-凝胶反应所产生的胶粒之中。在各态样的实施例中,稀释溶剂可能包含乙醇、异丙基(IPA)、丙二醇甲醚丙酸酯(PGME)、丙二醇甲醚醋酸酯(PGMEA)或其任意的组合。混合并搅拌稀释溶剂和胶粒以得到前驱物溶液126。在以下叙述中,前驱物溶液126用作间隙填充材料。
请参照图1A,于步骤102中,方法100是接收包含基板202及形成于其上的第一层204的装置200。如图2A所示,装置200更包含沟渠206于第一层204之中。在一些实施例中,基板202包含硅基板(例如:晶圆)。或者,基板202可能包含:基本半导体(例如:锗);化合物半导体,例如:碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,例如:锗化硅、磷砷化镓(GaAsP)、砷化铟铝(AlInAs)、砷化铝镓(AlGaAs)、砷化铟镓(GaInAs)、磷化铜镓(GaInP)和/或砷磷化铟镓(GaInAsP);或其任意的组合。在又一实施例中,基板202为绝缘层上硅(SOI)。基板202包含主动装置,例如:P型场效晶体管(PFET)、N型场效晶体管(NFET)、金氧半场效晶体管(MOSFET)、互补式金氧半场效晶体管(CMOS)、双极性晶体管、高电压晶体管和高频率晶体管。晶体管可能为平面晶体管或多栅极晶体管(例如:鳍式晶体管)。基板202可能更包含被动装置,例如:电阻、电容和电感。
在本实施例中,第一层204包含金属材料,例如:金属氮化物(metallic nitride)、金属或导电氧化物、元素金属或其任意的组合。因此,第一层204也称作金属层。在各态样的实施例中,元素金属是选自,但不局限于铜、铝、钛。在一实施例中,第一层204包含氮化钛(TiN)。可藉由物理气相沉积(PVD)、化学气相沉积(CVD)、溅镀、电镀或其他适合的制程。可藉由干蚀刻、湿蚀刻、反应式离子蚀刻或其他合适的制程,蚀刻第一层204以形成沟渠206。在蚀刻第一层204之前,可能进行光刻技术制程以形成硬掩模于第一层204之上,以定义沟渠206。一例示性光刻技术制程包含涂布光阻(或抵抗)层、软烤光阻层、对准掩模、曝光、曝光后烘烤、光阻层显影以形成光阻图案、清洗和干燥(例如:硬烤)光阻图案。光阻图案可用做第一层204蚀刻制程的硬掩模。或者,光阻图案可转印至底下的另一层上,用作第一层204蚀刻制程的硬掩模。之后,通过硬掩模蚀刻第一层204以去除部分的第一层204并形成沟渠206。在一实施例中,第一层204的剩余部分形成装置200的金属内连线结构。在各态样的实施例中,沟渠206可能具有一深度(沿着Z方向的尺寸)介于15纳米至60纳米之间(例如:45纳米),及一宽度(沿着X方向的尺寸)介于5纳米至30纳米之间(例如:20纳米)。在一些实施例中,沟渠206于其上部较其下部具有较宽的开孔。在一实施例中,装置200更包含单层或多层结构(例如:无氮添加的抗反射涂层(nitrogen-free anti-reflective coating,NFARC)于基板202和第一层204之间。在一些实施例中,NFARC层可能包含材料如:二氧化硅、碳氧化硅和电浆辅助化学气相沉积的二氧化硅。
于步骤106中,方法100(图1A)施加步骤102所制备的前驱物溶液126于装置200上,因此形成前驱物层208。请参照图2B,前驱物层208包含填充部分208A于沟渠206(图2A)之中,及主体部分208B于第一层204之上。由于前驱物溶液126中的成孔剂与其中的填充物键结,成孔剂均匀分布于填充部分208A及主体部分208B。在一实施例中,藉由旋转途布法将前驱物溶液126置入装置200。举例来说,当装置200以特定转速(例如:介于500rpm至3000rpm之间)旋转时,前驱物溶液126可分布于装置200的上表面。在沟渠206(图2A)填满前驱物溶液之后,可藉由控制前驱物溶液的散布速率(dispense rate)及转速以大致上得到均匀厚度的主体部分206B。旋转涂布制程可进行于室温或任一合适的温度之下。在一些实施例中,可藉由其他方法(例如:浸渍涂布、喷射涂布和滚动涂布)以施加前驱物溶液126。
于步骤108中,方法100(图1A)对装置200进行软烤。请参照图2C,其绘示前驱物层208进行软烤制程。软烤制程将溶剂驱逐出前驱物层208并可促进化学反应(例如:交连作用)于前驱物层208之中。由于软烤制程,前驱物层208更加的固化且通常伴随着厚度的收缩。在各态样的实施例中,软烤制程可进行于温度范围介于约100℃至约300℃之间,持续约30秒至约180秒。
于步骤110中,方法100(图1A)固化前驱物层208,因此形成多孔材料层210(如图2D所示)。在各态样的实施例中,步骤110可能使用紫外线固化制程、热固化制程或其他合适的固化制程。举例来说,可藉由高密度紫外线,于约400℃的温度之下进行约10分钟,以固化前驱物层208。另一例子中,前驱物层208是热固化于约400℃温度下,通以氮气并持续进行约1至约2小时。请参照图2D,固化制程将成孔剂扩散出前驱物层208,而保留孔洞(小孔)于多孔材料层210之中。在一些例子中,成孔剂完全扩散出前驱物层208。此外,固化制程可能引发进一步的化学反应于前驱物层208之中,例如:高分子交连作用。因此,多孔材料层210更加地固化且厚度也更加地收缩。
如图2D所示,多孔材料层210包含填充部分210A于沟渠206(图2A)之中,及主体部分210B于第一层204之上。在各态样的实施例中,填充部分210A的高度(沿着Z方向)介于约15纳米至约60纳米之间,而主体部分210B的厚度(沿着Z方向)则介于约1纳米至约200纳米之间。由于成孔剂均匀分布于前驱物层208之中,其所形成的孔洞也均匀分布于多孔材料层210之中。换句话说,填充部分210A及主体部分210B大致上包含相同程度的孔隙率,此点优于那些成孔剂未与填充物键结的间隙填充材料。在成孔剂未与填充物键结的间隙填充材料中,成孔剂倾向于停留在填充部分(于沟渠内),而填充物则倾向于停留在主体部分(于下层的上表面上)。其所形成的多孔材料,于填充部分相较于主体部分可能具有较高的孔隙率,此将造成相分离的问题。可从图3A及图3B中得知前驱物溶液126所形成的薄膜与另一间隙填充材料所形成的薄膜之间的薄膜性质差异性。
图3A是根据一些实施例所绘示的图300,其显示由前驱物溶液126所形成的多孔材料层210内,化学元素(硅、氧、氮和碳)的原子计数。化学元素的原子计数是藉由对一实施例中的装置200里的多孔材料层210进行X光晶体绕射(XRD)分析所得。水平轴「D」代表多孔材料层210的深度(或厚度),其原点(即D为0微米)为主体部分210B的上表面。虚线302代表主体部分210B及填充部分210A间的虚拟界面。垂直轴「CT」代表相关化学元素的原子计数,其测量方式是沿着虚线304延伸并横穿主体部分210B及填充部分210A。如图300所示,任何一个化学元素(硅、氧、氮及碳)几乎均匀分布于多孔材料层210之中。在此特殊的实施例中,主体部分210B的平均硅含量百分比相当于填充部分210A的平均硅含量百分比,其间的差异小于10%。在此特殊实施例中,每个元素(氧、碳和氮)皆具有相同的现象。此现象是成孔剂与填充物化学键结的前驱物溶液126的独特性质所致,即多孔材料层210中几乎均匀分布的孔洞所导致的现象。
图3B绘示一图350,其显示使用另一种间隙填充材料(即成孔剂与填充物间无化学键结,故不同于前驱物溶液126)所形成的多孔材料层260中,各个化学元素(硅、氧、氮和碳)的原子计数。多孔材料层260形成于包含基板252和金属层254的装置250之上。基板252及金属层254大致上与基板202及第一层204相同。多孔材料层260也包含填充部分260A于金属沟渠中及主体部分260B于金属层254的上表面上。因为在此间隙填充材料中,成孔剂未与填充物化学键结,所以填充部分260A相较于主体部分260B具有较高的孔隙率。水平轴「D」代表多孔材料层260的深度,其原点(即D为0微米)为主体部分260B的上表面。虚线352代表主体部分260B及填充部分260A间的虚拟界面。垂直轴「CT」代表相关化学元素的原子计数,其测量方式是沿着虚线354延伸并横穿主体部分260B及填充部分260A。如图350所示,任何一个化学元素(硅、氧及碳)几乎均匀分布于多孔材料层260之中。特别的是,硅及氧的含量百分比自主体部分260B往填充部分260A,皆显示下降趋势;而碳的含量百分比则显示上升趋势。对于硅而言,其含量百分比自主体部分260B(平均原子计数为1300)至填充部分260A(平均原子计数950)下降了27%。对于氧而言,其含量百分比自主体部分260B(平均原子计数为1200)至填充部分260A(平均原子计数850)下降了29%。此不均匀的薄膜性质可能造成主体部分260B自填充部分260A剥落脱离。相反地,多孔材料层210(图3A)具有均匀或几近均匀的薄膜性质,其有益于装置200。
本揭露内容的前驱物溶液126并不局限于填充金属层内的间隙。在各态样的实施例中,前驱物溶液126可用于填充介电沟渠以形成低介电常数材料成;或用于光蚀刻制程中的光阻。图4绘示间隙填充材料于上述任一实施例中的应用。
请参照图4,其显示装置400,包含基板402、各种特征404及介电层210,此介电层是形成于基板402之上和特征404之间的沟渠内。在一些实施例中,基板402大致上与基板202(图2A)相同。在一些实施例中,特征404可能为鳍式晶体管中的鳍片、平面晶体管或3D立体晶体管中的栅极堆叠或其他电路特征。在一实施例中,先旋转涂布前驱物溶液126于基板402和特征404之上,再依照上述方法固化前驱物溶液126,以形成介电层210。在一些实施例中,于固化制程前,可能先软烤前驱物溶液126。于成孔剂大致上扩散出前驱物溶液126之外后,形成低介电常数层210,其填充部分210A及主体部分210B具有几乎均匀的薄膜性质。在一些实施例中,可能形成其他电路特征(如:源极、漏极和栅极接点)于低介电常数的介电层210中。
虽非有意限制,本揭露内容中对于集成电路及其制造方法的一个或多个实施例,提供许多优点。根据本揭露内容,在一实施例中,间隙填充介电材料可用于填充金属沟渠以得到均匀的金属间介电层。此提供一种新的形成金属内连线结构的方法,其能降低临界尺寸并应用于新世代的集成电路。在一些实施例中,制备间隙填充介电材料和应用其于前驱物装置上的制程,皆属简易并可轻易整合至现有的制造流程里。此外,间隙填充材料本身具有成本效益。
虽然本发明已以实施方式及实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视后附的申请专利范围所界定者为准。体现本发明特征与优点的典型实施方式已在以上的说明中详细叙述。应理解的是本发明能够在不同的实施例上具有各种的变化,其皆不脱离本发明的范围,且其中的说明及附图在本质上是当作说明之用,而非用以限制本发明。

Claims (10)

1.一种制造半导体的方法,包含:
接收包含基板及置于该基板上的第一层的装置,其中该第一层包含沟渠;
施加第一材料于该第一层之上并填入该沟渠之中,其中该第一材料包含填充物及与该填充物化学键结的成孔剂;以及
固化该第一材料。
2.如权利要求书1所述的方法,其中该填充物包含一个或多个下列的单体:四甲氧硅烷、甲基三甲氧基硅烷、甲基三乙氧基硅烷及四乙基硅氧烷。
3.如权利要求2所述的方法,其中该成孔剂包含下列其中一种:双团联式共聚合物和三团联式共聚合物。
4.如权利要求1所述的方法,其中该成孔剂是通过Si-O-[CH2CH2O]X键与该填充物化学键结。
5.一种制造半导体装置的方法,包含:
形成前驱物溶液,其包含填充物及与该填充物化学键结的成孔剂;
施加该前驱物溶液于装置,该装置具有置于基板上的第一层,其中该第一层包含沟渠,且其中该前驱物溶液形成前驱物层于该第一层之上和该沟渠之中;以及
固化该前驱物层以形成多孔材料层,其具有第一部分于该沟渠之中及第二部分于该第一层之上。
6.如权利要求5所述的方法,其中形成该前驱物溶液,包含:
形成具有该填充物及该成孔剂的混合物;以及
引发溶胶-凝胶反应介于该填充物及该成孔剂之间。
7.如权利要求6所述的方法,其中形成该前驱物溶液,更包含:
于引发该溶胶-凝胶反应之后,添加稀释溶剂于该混合物之中。
8.如权利要求5所述的方法,其中该填充物包含一个或多个下列的单体:四甲氧硅烷、甲基三甲氧基硅烷、甲基三乙氧基硅烷及四乙基硅氧烷;其中该成孔剂为一团块共聚合物,其具有-OH功能基于-EO-或-PO-单体的侧链上。
9.一种半导体的装置,包含:
基板;
金属材料层于该基板之上且具有第一沟渠;以及
具有第一部分及第二部分的多孔材料层,其中该第一部分是置于该沟渠之中,而该第二部分则置于该金属材料层之上;其中该第一和第二部分含有大致相同的硅、氧及碳的百分比。
10.如权利要求9所述的装置,其中该第一和第二部分含有大致相同的。
CN201510818586.7A 2015-06-26 2015-11-23 半导体装置及其制造方法 Active CN106298640B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/752,097 US9941157B2 (en) 2015-06-26 2015-06-26 Porogen bonded gap filling material in semiconductor manufacturing
US14/752,097 2015-06-26

Publications (2)

Publication Number Publication Date
CN106298640A true CN106298640A (zh) 2017-01-04
CN106298640B CN106298640B (zh) 2019-11-08

Family

ID=57601249

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510818586.7A Active CN106298640B (zh) 2015-06-26 2015-11-23 半导体装置及其制造方法

Country Status (4)

Country Link
US (4) US9941157B2 (zh)
KR (1) KR101714257B1 (zh)
CN (1) CN106298640B (zh)
TW (1) TWI612619B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US9773698B2 (en) * 2015-09-30 2017-09-26 International Business Machines Corporation Method of manufacturing an ultra low dielectric layer
US10361137B2 (en) 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10573519B2 (en) * 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10770354B2 (en) * 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US11605558B2 (en) 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
US20100123224A1 (en) * 2008-11-14 2010-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. High mechanical strength additives for porous ultra low-k material
JP2013012653A (ja) * 2011-06-30 2013-01-17 Panasonic Corp 光学素子およびその製造方法
US20150200133A1 (en) * 2014-01-13 2015-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950034495A (ko) * 1994-04-20 1995-12-28 윌리엄 이.힐러 반도체 장치 제조를 위한 고 수율 광 경화 공정
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
EP1632956A1 (en) 2004-09-07 2006-03-08 Rohm and Haas Electronic Materials, L.L.C. Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
US8889544B2 (en) 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US9054110B2 (en) 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
US20100123224A1 (en) * 2008-11-14 2010-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. High mechanical strength additives for porous ultra low-k material
JP2013012653A (ja) * 2011-06-30 2013-01-17 Panasonic Corp 光学素子およびその製造方法
US20150200133A1 (en) * 2014-01-13 2015-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure

Also Published As

Publication number Publication date
US20180226293A1 (en) 2018-08-09
TW201701402A (zh) 2017-01-01
US20210098378A1 (en) 2021-04-01
TWI612619B (zh) 2018-01-21
US10867922B2 (en) 2020-12-15
US11658120B2 (en) 2023-05-23
KR101714257B1 (ko) 2017-03-08
US20160379874A1 (en) 2016-12-29
US20230299003A1 (en) 2023-09-21
CN106298640B (zh) 2019-11-08
US9941157B2 (en) 2018-04-10
US11984316B2 (en) 2024-05-14
KR20170001542A (ko) 2017-01-04

Similar Documents

Publication Publication Date Title
CN106298640B (zh) 半导体装置及其制造方法
US9932671B2 (en) Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN101483171B (zh) 具有能图案化低k材料的含空气隙的互连结构体及其制法
US11990403B2 (en) Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
KR20220167338A (ko) 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US20220102207A1 (en) Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
CN108012561B (zh) 用于后端工艺(beol)互连件的借助使用自底向上交联的电介质的图像色调反转
CN106206412A (zh) 形成半导体器件的互连结构的方法
CN106409654A (zh) 形成多孔低‑k结构的系统和方法
US11901189B2 (en) Ambient controlled two-step thermal treatment for spin-on coating layer planarization
CN110690202A (zh) 集成电路装置及其制备方法
US11398428B2 (en) Multifunctional molecules for selective polymer formation on conductive surfaces and structures resulting therefrom
CN102738076B (zh) 通孔优先铜互连制作方法
TW201544561A (zh) 形成二氧化矽基層的組成物及製造二氧化矽基層的方法
US6861274B2 (en) Method of making a SDI electroosmotic pump using nanoporous dielectric frit
CN109427650A (zh) 半导体结构及其形成方法
CN103268866B (zh) 降低冗余金属耦合电容的通孔优先双大马士革铜互连方法
CN102082118A (zh) 制备双大马士革结构的方法
US20230386852A1 (en) Ambient controlled two-step thermal treatment for spin-on coating layer planarization
US10811310B2 (en) Metal spacer self aligned double patterning with airgap integration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant