CN105706239A - 穿主体过孔隔离的共轴电容器及其形成技术 - Google Patents

穿主体过孔隔离的共轴电容器及其形成技术 Download PDF

Info

Publication number
CN105706239A
CN105706239A CN201380080847.9A CN201380080847A CN105706239A CN 105706239 A CN105706239 A CN 105706239A CN 201380080847 A CN201380080847 A CN 201380080847A CN 105706239 A CN105706239 A CN 105706239A
Authority
CN
China
Prior art keywords
layer
tbv
integrated circuit
capacitor
cases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380080847.9A
Other languages
English (en)
Inventor
K·J·李
R·萨拉斯沃特
U·齐尔曼
N·P·考利
A·舍费尔
R·贾殷
G·德勒格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN105706239A publication Critical patent/CN105706239A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • H01L21/4875Connection or disconnection of other leads to or from bases or plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/492Bases or plates or solder therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开了用于在半导体管芯中形成穿主体过孔(TBV)隔离的共轴电容器的技术。在一些实施例中,利用所公开的技术提供的圆柱形电容器可以包括,例如由电介质材料和外导体板环绕的导电TBV。例如,根据一些实施例,可以形成TBV和外板,以便在共轴配置中彼此自对准。公开的电容器可以贯穿主管芯的主体,使得它的端子可以在其上表面和/或下表面上可及。因此,在一些情况下,根据一些实施例,主管芯可以与另一个管芯电连接,以提供管芯叠置体或其它三维集成电路(3D IC)。在一些情况下,例如,可以利用公开的电容器来提供开关式电容器电压调节器(SCVR)中的集成电容。

Description

穿主体过孔隔离的共轴电容器及其形成技术
背景技术
深亚微米工艺节点(例如,32nm或更小)中的集成电路设计涉及到许多并非无关紧要的挑战,并且对于集成电容而言三维集成面临着特别的复杂化。持续的工艺缩放往往会加重这种问题。
附图说明
图1A-1C分别图示了根据本公开内容的实施例而配置的穿主体过孔(TBV)隔离共轴电容器的透视图、侧截面图和俯视图。
图2图示了根据本公开内容的实施例而配置的包括TBV隔离共轴电容器的三维(3D)管芯叠置体的侧截面图。
图3是根据本公开内容的实施例,可以利用一个或多个TBV隔离的共轴电容器的示例性开关式电容器电压调节器(SCVR)的电路图。
图4A是根据本公开内容的实施例的集成电路(IC)的截面图。
图4B是根据本公开内容的实施例的在其中形成外板开口之后的图4A的IC的截面图。
图4C是根据本公开内容的实施例的在去除任何剩余的图案化抗蚀剂层和硬掩模层之后并且在形成绝缘体层、阻挡/种层和金属层之后的图4B的IC的截面图。
图4D是根据本公开内容的实施例的在平面化之后的图4C的IC的截面图。
图4E是根据本公开内容的实施例的在形成硬掩模层和抗蚀剂层之后的图4D的IC的截面图。
图4F是根据本公开内容的实施例的在形成内板开口之后的图4E的IC的截面图。
图4G是根据本公开内容的实施例的在去除任何剩余的图案化抗蚀剂层和硬掩模层之后并且在形成阻挡层、电介质层、阻挡/种层和金属层之后的图4F的IC的截面图。
图4H是根据本公开内容的实施例的在平面化之后的图4G的IC的截面图。
图4I是根据本公开内容的实施例的在形成一个或多个后端层和金属凸块层之后的图4H的IC的截面图。
图4J是根据本公开内容的实施例的在沉积粘合层并与载体衬底结合之后的图4I的IC的截面图。
图4K是根据本公开内容的实施例的在其减薄之后的图4J的IC的截面图。
图4L是根据本公开内容的实施例的在形成电介质层和抗蚀剂层之后的图4K的IC的截面图。
图4M是根据本公开内容的实施例的在对介质层图案化之后的图4L的IC的截面图。
图4N是根据本公开内容的实施例的在去除任何剩余图案化抗蚀剂层,并形成阻挡/粘附层、一个或多个再分布层(RDL)、电介质层和表面抛光层之后的图4M的IC的截面图。
图4O是根据本公开内容的实施例的在对载体衬底脱粘之后的图4N的IC的截面图。
图5示出了根据示例性实施例的利用公开的技术形成的集成电路结构或器件所实施的计算系统。
通过结合本文所描述的附图来阅读以下具体实施方案,将更好地理解这些实施例的这些和其它特征。在附图中,可以由相同标号来表示各图中图示的每个等同或接近等同的部件。出于清晰的目的,并未在每幅图中标记每个部件。此外,将要认识到,附图未必是按比例绘制的或旨在将所描述的实施例限制到所示的特定构造。例如,尽管一些图一般性示出了直线、直角和光滑表面,但给定制造工艺的现实限制,所公开的技术的实际实施方式可能并非是完美的直线、直角等,并且一些特征可能具有表面拓扑结构或不是光滑的。简而言之,提供附图仅仅是为了示出示例性结构。
具体实施方式
公开了用于在半导体管芯中形成穿主体过孔(TBV)隔离的共轴电容器的技术。在一些实施例中,利用所公开的技术提供的圆柱形电容器可以包括,例如由电介质材料和外导体板环绕的导电TBV。根据一些实施例,例如,TBV和外板可以形成为在共轴布置中彼此自对准。公开的电容器可以延伸穿过主管芯的主体,使得它的端子在其上表面和/或下表面上可及。因此,在一些情况下,根据一些实施例,主管芯可以与另一个管芯电连接,以提供管芯叠置体或其它三维集成电路(3DIC)。在一些情况下,例如,可以利用所公开的电容器来提供开关式电容器电压调节器(SCVR)中的集成电容。根据本公开内容,许多其它构造、变化和应用将显而易见。
概述
现有的开关式电容器电压调节器(SCVR)设计典型地利用金属-绝缘体-金属(MIM)电容器来提供集成电容。然而,作为结果,现有的SCVR一般遭受显著的电串联电阻(ESR)。此外,对于这些SCVR设计,它们的MIM电容器通常位于有源金属叠置体内的最高金属层,并且因此其到下方金属化有源电路元件的连接涉及穿越金属叠置体。这增加了显著的互连电阻(例如,接触电阻),反过来导致主SCVR更高的损耗和受限的电流密度能力。此外,对于给定负载两端的分布式电压调节器实施方式,现有的SCVR设计典型地需要负载和电压调节器的共同设计/布线以及金属资源的分配。这增加了设计难度,尤其是在细颗粒电压域存在时。
因此,并且根据本公开内容的一些实施例,公开了用于在半导体管芯中形成穿主体过孔(TBV)隔离的共轴电容器的技术。根据一些实施例,利用所公开技术提供的电容器可以包括,例如,由电介质材料(例如,高k电介质、低k电介质或其它材料)和(例如,较低导电率的)外金属板所环绕的导电TBV。使用公开的技术,根据一些实施例,例如,圆柱形电容器的TBV和外板可以形成为在共轴配置中彼此自对准。如本文所描述而配置的电容器可以延伸穿过主管芯的主体,使得它的端子在其上表面和/或下表面上可及。根据一些实施例,利用本构造,主管芯可以与下邻和/或上邻的管芯电连接,例如,以提供三维管芯叠置体或其它三维集成电路(3DIC)。
在一些情况下,例如,如本文所描述而配置的电容器可以通过其一个或多个再分布层(RDL)与主管芯叠置体的其它电路部件电连接。在一些其它情况下,例如,可以利用主管芯叠置体的有源金属层对公开的电容器进行电连接。在一些情况下,例如,可以利用如本文所描述而配置的电容器来将第一管芯有源前侧上的一个或多个互连层与第二相邻管芯的有源前侧上的一个或多个互连层电连接。根据本公开内容,许多适当的构造将是显而易见的。
根据一些实施例,例如,可以利用如本文所描述而配置的电容器来在完全集成的开关式电容器电压调节器(SCVR)模块中提供集成电容。即,根据一些实施例,可以利用如本文所描述而配置的TBV隔离共轴电容器来替代SCVR架构中传统上包括的MIM电容器。所公开的TBV隔离共轴电容器适于该目的可以至少部分基于多种因素的任一种。例如,单个TBV的电阻相对较小(例如,在一些情况下,在毫欧的量级)并与其截面面积成反比。同样,例如,可以通过调谐电介质材料的介电常数和/或TBV的直径/宽度来控制所公开电容器的电容。此外,因为可以将TBV电容器与管芯叠置体的有源层相邻设置,所以可以形成到切换晶体管的连接而不穿越整个金属叠置体和过孔,从而导致路径中更低的电阻。因此,在一些情况下,例如,与典型的基于MIM的SCVR相比,所得的基于TBV的SCVR可以呈现出ESR的减小。同样,根据本公开内容,将会认识到,如本文所描述而配置的包括一个或多个基于TBV的电容器的SCVR可以在低电流下呈现出相对较高的效率和/或在一些情况下呈现相对较高密度的集成电容。同样,一些实施例可以消除或以其它方式减小以上提及的典型地与在分布式SCVR架构中使用MIM电容器相关联的干扰问题。
然而,应当注意,本公开内容不限于仅在SCVR模块内的TBV隔离的共轴电容器的实施方式。例如,根据一些实施例,可以将使用所公开技术提供的电容器用作解耦电容器,用于过滤掉电网(和/或相关应用)中的噪声。根据一些实施例,例如,可以在LC电路(例如,谐振或储能电路)、滤波器和/或可以利用管芯上电容的任何其它电路应用中使用如本文所描述而配置的电容器。根据本公开内容,如本文所描述而配置的TBV隔离的共轴电容器的其它适当应用将显而易见。
例如,一些实施例可以被配置成连接到有源电路元件,这反过来可以帮助减小接触电阻,并且因此减小ESR。一些实施例可以实现管芯面积的节约,例如,这反过来可以帮助降低成本。同样,根据一些实施例,例如,可以通过具有如本文所描述而配置的穿主体过孔(TBV)隔离的共轴电容器的给定集成电路或其它器件的视觉或其它检查(例如,截面扫描电子显微术或SEM等),检测所公开技术的使用。在一些情况下,例如,可以在观测包括完整集成的SCVR而没有MIM电容器或以其它方式具有高电容器密度的3D管芯叠置体时,检测所公开技术的使用。
结构
图1A-1C图示了根据本公开内容的实施例而配置的穿主体过孔(TBV)隔离的共轴电容器101的几幅示图。如本文所论述,根据一些实施例,电容器101可以包括外导体板118、设置于由外导体板118界定的内部区域内的电介质层128以及形成于由电介质层128界定的内部区域内的内导体板132(例如,TBV)。可以看出,根据一些实施例,可以彼此共轴地布置外导体板118和内导体板132,从而提供圆柱形电容器。根据一些实施例,可以在电容器101的结构中和/或周围包括一个或多个附加层,例如,绝缘体层114、阻挡/种层116、阻挡层126和/或阻挡/种层130(每者都在本文中描述)。同样,如本文所论述,可以根据需要为电容器101提供各种电连接的任一种。根据本公开内容,许多构造将显而易见。
根据一些实施例,根据给定目标应用或最终用途的需要,如本文所描述而配置的电容器101可以与上和/或下电路管芯(例如,在3D管芯叠置体中)电连接。例如,根据一些实施例,可以通过3D管芯叠置体的一个或多个再分布层(RDL)为电容器101提供连接。根据一些其它实施例,可以利用3D管芯叠置体的有源金属层连接电容器101。为该目的,例如,可以在制造有源侧管芯期间形成共轴底板结构。例如,考虑图2,图2图示了根据本公开内容的实施例而配置的包括TBV隔离的共轴电容器101的3D管芯叠置体。可以看出,可以为电容器101提供例如,到下管芯的有源电路部分的有源侧连接。根据本公开内容,将会认识到,这样的构造在一些情况下可以帮助减小互连电阻。
根据本公开内容将进一步认识到,如本文所描述而配置的电容器101能够具有宽范围的应用的任一种。例如,在一些实施例中,可以在开关式电容器电压调节器(SCVR)中使用电容器101。例如,考虑图3,图3是根据本公开内容的实施例的可以利用一个或多个TBV隔离的共轴电容器101的示例性SCVR架构的电路图。如前所论述,现有的管芯上SCVR典型地采用金属绝缘体金属(MIM)电容器。因此,在图3的SCVR示例性语境内,现有的架构会在电路元件C1和C2处采用MIM电容器。然而,如前所论述,现有的SCVR架构通常在有源金属叠置体内的最高金属层处包括它们的MIM电容器。这种构造反过来导致现有的SCVR具有高互连电阻(例如,接触电阻),并且因此具有更高损耗和有限的电流密度能力。因此,根据一些实施例,可以任选地利用如本文所描述而配置的电容器101替换图3的SCVR的电容器C1和C2之一或两者。作为为图3的SCVR的电容器元件C1和/或C2任选实施共轴电容器101的结果,例如,在一些实施例中,与典型的基于MIM的SCVR相比,可以实现ESR的改善。
方法学
图4A-4O图示了根据本公开内容的实施例的集成电路(IC)制造过程流程。如本文所论述,根据一些实施例,可以使用公开的技术来提供包括一个或多个穿主体过孔(TBV)隔离的共轴电容器101的IC100。根据本公开内容,将会认识到,在一般意义上,可以将公开的工艺流程视为用于形成TBV隔离的共轴电容器101的过孔居中(例如,与过孔第一或过孔最后相反)工艺流程。
该过程可以如图4A中那样开始,图4A是根据本公开内容的实施例的集成电路(IC)100的截面图。可以看出,IC100一开始可以包括半导体层102。半导体层102可以由诸如硅(Si)和/或硅锗(SiGe)的任何适当的半导体材料(或这种材料的组合)形成。半导体层102可以具有宽范围的构造的任一种,包括例如:块状半导体衬底;绝缘体上硅(SOI)结构;半导体晶片和/或多层结构。此外,可以针对给定目标应用或最终用途定制半导体层102的尺寸。根据本公开内容,将会认识到,可能希望确保半导体层102有充分大的厚度,例如,以允许形成一个或多个穿主体过孔(TBV)隔离的共轴电容器101(如本文所论述),它们对于给定目标应用或最终用途而言具有充分大的尺寸。半导体层102的其它适当材料、构造和尺寸将取决于给定应用并且将根据本公开内容而显而易见。
同样,可以看出,IC100一开始可以包括在半导体层102上方形成的一个或多个前端晶体管层104。在一些情况下,半导体层102以及一个或多个前端层104一起可以提供部分完成的器件晶片,其可用于下游使用和/或进一步处理。例如,根据一些实施例,半导体层102和前端层104可以提供一种结构,可以在其上填充附加层和/或部件。
从图4A可以进一步看出,可以在IC100的表面上方(例如,在一个或多个前端层104上方)形成抛光停止层106。抛光停止层106可以由任何适当的抛光停止材料(或这种材料的组合)形成。例如,在一些情况下,抛光停止层106可以由氮化硅(Si3N4)、碳化硅(SiC)和/或其任意组合形成。在一些情况下,可能希望选择例如对公开的工艺流程中可能使用的化学机械平面化(CMP)浆料(或其它平面化/抛光工艺)有充分选择性的抛光停止层106。用于抛光停止层106的其它适当材料将取决于给定应用并将根据本公开内容而显而易见。
根据本公开内容将会认识到,可以如通常所做那样,利用任何技术在IC100上方形成抛光停止层106。根据一些示例性实施例,可以利用诸如等离子体增强CVD(PECVD)的化学气相沉积(CVD)工艺来形成抛光停止层106。用于形成抛光停止层106的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制抛光停止层106的尺寸。例如,在一些实施例中,抛光停止层106可以具有大约30-3000nm范围中的厚度(例如,大约30-1000nm,大约1000-2000nm,大约2000-3000nm或大约0.1-1.0μm范围中的任何其它子范围)。在一些情况下,抛光停止层106可以在例如由IC100的任何下层(例如,一个或多个前端层104)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将抛光停止层106提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为抛光停止层106提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,抛光停止层106的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于抛光停止层106的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
此外,从图4A可以看出,可以在IC100的表面上方(例如,抛光停止层106上方)形成硬掩模层108。硬掩模层108可以由任何适当的硬掩模材料(或这种材料的组合)形成。例如,在一些情况下,硬掩模层108可以由二氧化硅(SiO2)、氮化硅(Si3N4)、氮氧化硅(SiOxNy)和/或其任一种或多种的组合形成。用于硬掩模层108的其它适当材料将取决于给定应用并将根据本公开内容而显而易见。
可以利用各种技术的任一种在IC100上方形成硬掩模层108。例如,根据一些实施例,可以利用化学气相沉积(CVD)工艺、旋涂沉积(SOD)工艺和/或其一种或多种的组合来形成硬掩模层108。用于形成硬掩模层108的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制硬掩模层108的尺寸。例如,在一些实施例中,硬掩模层108可以具有大约1.0-5.0μm范围中的厚度(例如,大约1.0-2.5μm、大约2.5-5.0μm或大约1.0-5.0μm范围中的任何其它子范围)。在一些情况下,可以至少部分基于要向IC100中蚀刻的一个或多个开口112(下文论述)的期望深度,选择硬掩模层108的厚度。在一些情况下,硬掩模层108可以在例如由IC100的任何下方层(例如,抛光停止层106)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将硬掩模层108提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为硬掩模层108提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,硬掩模层108的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于硬掩模层108的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
从图4A可以进一步看出,可以在IC100的表面上方(例如,在硬掩模层108上方)形成抗蚀剂层110。根据本公开内容将会认识到,可以如典型所做那样,由任何适当的抗蚀剂材料(或这种材料的组合),利用任何适当技术(或技术组合)形成抗蚀剂层110。例如,在一些情况下,可以利用旋涂沉积(SOD)工艺在IC100上方分布液体光致抗蚀剂。在一些其它情况下,可以在IC100上方沉积层压的干膜光致抗蚀剂。进一步将会认识到,在沉积之后,可以如通常所做那样,对抗蚀剂层100进行额外处理(例如,曝光和显影)。用于形成抗蚀剂层110的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制抗蚀剂层110的尺寸。例如,在一些实施例中,抗蚀剂层110可以具有大约0.3-5.0μm范围中的厚度(例如,大约0.3-2.5μm、大约2.5-5.0μm或大约0.3-5.0μm范围中的任何其它子范围)。在一些情况下,抗蚀剂层110可以在例如由IC100的任何下方层(例如,硬掩模层108)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将抗蚀剂层110提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为抗蚀剂层110提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,抗蚀剂层110的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于抗蚀剂层110的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
根据一些实施例,可以处理抗蚀剂层110以在其中形成一个或多个开口110'。根据一些实施例,可以在IC100中要形成外板开口112(下文所述)的区域上方形成开口110'。可以根据需要针对给定目标应用或最终用途来定制抗蚀剂层110的给定开口110'的尺寸。可以为给定开口110'提供深度,例如,该深度贯穿抗蚀剂层110的整个厚度,因此在一些情况下暴露下方硬掩模层108的表面。同样,根据本公开内容将会认识到,可以至少部分基于可能在其下方形成的外板开口112(下文所述)的厚度(TO)确定给定开口110'的宽度。用于一个或多个开口110'的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4B中那样继续进行,图4B是根据本公开内容的实施例的其中形成外板开口112之后的图4A的IC100的截面图。根据一些实施例,可以通过利用图案化抗蚀剂层110(例如,图案化成具有一个或多个开口110')作为掩模,蚀刻穿过硬掩模层108、抛光停止层106和/或一个或多个前端层104并且进入半导体层102,在IC100中形成外板开口112。为此目的,根据一些实施例,可以利用各向异性干法等离子体蚀刻工艺形成外板开口112。可以根据需要定制蚀刻化学试剂,在一些情况下,例如可以是可在脉冲式或时间复用(例如,Bosch工艺)蚀刻中利用的化学试剂。用于形成外板开口112的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
如本文所论述,根据一些实施例,外板开口112可以被配置为至少部分容纳:(1)绝缘体层114;(2)阻挡层116和/或(3)电容器101的外导体板118。为此,根据一些实施例,可以根据需要,针对给定目标应用或最终用途,定制外板开口112的几何形状和/或尺寸。例如,在一些情况下,外板开口112可以具有一般地筒状的几何形状,其截面轮廓可以一般地为环形。在一些实施例中,外板开口112可以具有例如在大约50-100μm范围中的深度(DO)(例如,大约50-75μm、大约75-100μm或大约50-100μm范围中的任何其它子范围)。在一些实施例中,外板开口112可以具有例如在大约2-10μm范围中的厚度(TO)(例如,大约2-6μm、大约6-10μm或大约1-10μm范围中的任何其它子范围)。在一些实施例中,外板开口112可以具有例如在大约6-30μm范围中的宽度(WO)(例如,大约6-18μm、大约18-30μm或大约6-30μm范围中的任何其它子范围)。用于外板开口112的其它适当几何形状和尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4C中那样继续进行,图4C是根据本公开内容的实施例的在去除任何剩余的图案化抗蚀剂层110和硬掩模层108之后并且在形成绝缘体层114、阻挡/种层116和金属层118之后的图4B的IC100的截面图。可以看出,可以从IC100去除任何剩余的抗蚀剂层110和硬掩模层108。然而,应当注意,在一些情况下,用于形成外板开口112的蚀刻时间可能足够长,例如,使得可以在这样的蚀刻处理期间部分或全部蚀刻掉抗蚀剂层110。同样,在一些情况下,可以进行一次或多次额外清洁,例如,以去除绝缘体层102的蚀刻处理期间可能已经形成的任何蚀刻聚合物,以形成外板开口112。用于去除抗蚀剂层110和/或硬掩模层108的剩余部分(如果有的话)的其它适用技术将取决于给定应用,并将根据本公开内容而变得显而易见。
从图4C可以看出,可以在IC100的表面上方(例如,在抛光停止层106、一个或多个前端层104、半导体层102和/或给定外板开口112提供的形貌上方)形成绝缘体层114。绝缘体层114可以由任何适当的绝缘体材料(或这种材料的组合)形成。例如,在一些情况下,绝缘体层114可以由二氧化硅(SiO2)、诸如聚酰亚胺的聚合物和/或其任一种或多种的组合形成。用于绝缘体层114的其它适当材料将取决于给定应用并将根据本公开内容而显而易见。
同样,可以利用各种技术的任一种在IC100上方形成绝缘体层114。例如,根据一些实施例,可以利用化学气相沉积(CVD)工艺,例如等离子体增强CVD(PECVD)来形成绝缘体层114。用于形成绝缘体层114的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制绝缘体层114的尺寸。例如,在一些情况下,可以在IC100上方沉积充分大量的绝缘体层114,以确保其在外板开口112内的部分具有大约100-400nm范围中的厚度(例如,大约150-250nm、大约250-350nm或大约100-400nm范围中的任何其它子范围)。在一些实施例中,可以至少部分在IC100上方形成绝缘体层114,以便为给定外板开口112的底部和侧壁形成内衬。在一些情况下,绝缘体层114可以在例如由IC100的任何下方层(例如,抛光停止层106、一个或多个前端层104、半导体层102和/或给定外板开口112)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将绝缘体层114提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为绝缘体层114提供不均匀或以其它方式变化的厚度。例如,在一些情况下,绝缘体层114的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于绝缘体层114的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
同样,从图4C可以看出,可以在IC100的表面上方(例如,绝缘体层114上方)形成阻挡/种层116。根据一些实施例,阻挡/种层116可以被配置成至少部分充当:(1)扩散阻挡,防止或以其它方式减少金属层118(下文所述)的材料扩散到周围层中;以及(2)种层,用于在外板开口112内沉积金属层118(下文所述)。为此,在一些情况下,阻挡/种层116可以被配置为叠置层(例如,双层),例如包括钛和铜的双层(Ti/Cu)和/或钽和铜的双层(Ta/Cu)。然而,应当指出,本公开内容不限于仅包括含铜(Cu)的阻挡/种层116,因为在更一般的意义上并根据某些其它实施例,可以使选择的种材料匹配被用作金属层118的材料。阻挡/种层116的其它适当配置和材料将取决于给定应用并将根据本公开内容而显而易见。
可以利用各种技术的任一种在IC100上方形成阻挡/种层116。例如,根据一些实施例,可以利用物理气相沉积(PVD)工艺、化学气相沉积(CVD)工艺和/或其任一种或多种的组合来形成阻挡/种层116。用于形成阻挡/种层116的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制阻挡/种层116的尺寸。例如,在一些情况下,可以在IC100上方沉积充分大量的阻挡/种层116,以确保其在外板开口112内的阻挡层部分具有大约5-20nm范围中的厚度(例如,大约5-15nm或大约5-20nm范围中的任何其它子范围)。在一些示例性情况下,可以在IC100上方沉积充分大量的阻挡/种层116,以确保其在外板开口112内的种层部分具有大约50-150nm范围中的厚度(例如,大约75-125nm或大约50-150nm范围中的任何其它子范围)。在一些情况下,阻挡/种层116可以在例如由IC100的任何下方层(例如,绝缘体层114)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将阻挡/种层116提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为阻挡/种层116提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,阻挡/种层116的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于阻挡/种层116的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
从图4C进一步可以看出,可以在IC100的表面上方(例如,阻挡/种层116上方)形成金属层118。根据本公开内容将会认识到,可以由任何适当的导电金属(或这种材料的组合)形成金属层118。在一些示例性情况下,金属层118可以由铜(Cu)和/或其合金形成。将要进一步认识到,可以如通常所做那样,利用任何适当技术在IC100上方形成金属层118。在一些示例性情况下,可以使用电镀工艺形成金属层118。用于形成金属层118的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
同样,如下文参考图4C所述,可以根据需要针对给定目标应用或最终用途定制金属层118的尺寸。例如,在一些情况下,可以在IC100上方沉积充分大量的金属层118,以确保其填充给定外板开口112的至少一部分(例如,在其中形成绝缘体层114和阻挡/种层116之后的剩余部分)。在一些其它情况下,例如,可以在IC100的任何下方层(例如,阻挡层116)提供的形貌上方,为金属层118提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,金属层118的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。在一些情况下,金属层118可以完全填充外板开口112的剩余部分(例如,在其中形成阻挡/种层116和绝缘体层114之后)。用于金属层118的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4D中那样继续进行,图4D是根据本公开内容的实施例的在平面化之后的图4C的IC100的截面图。根据本公开内容将会认识到,在一些情况下可能希望对IC100进行平面化,例如,以去除(1)金属层118、(2)阻挡/种层116和/或(3)绝缘体层114的任何不希望的过多量(例如,装载过多)。为此,根据本公开内容将显而易见的是,可以对IC100进行例如如下工艺:化学机械平面化(CMP)工艺;蚀刻和清洁工艺;和/或任何其它适当的平面化/抛光工艺。在一些情况下,选择的平面化工艺可以是对例如抛光停止层106是选择性的,使得层106在完成平面化工艺之后保持基本不受影响。用于对IC100进行平面化的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
在平面化之后,金属层118的一部分可以保留在外板开口112内。根据一些实施例,例如,可以将金属层118的这一剩余部分用作电容器101的外导体板(例如,在下文中称为外导体板118)。根据本公开内容将会认识到,外导体板118的几何形状和/或尺寸可以至少部分取决于其关联外板开口112和其中的任何附加层(例如,绝缘体层114;阻挡/种层116)的几何形状和/或尺寸。因此,在一些情况下,外导体板118可以具有大约50-100μm范围中的长度(例如,大约50-75μm,大约75-100μm,或大约50-100μm范围中的任何其它子范围)。在外板开口112具有一般地环形截面轮廓的一般地筒状几何形状的一些情况下,例如,外导体板118可以在几何形状和轮廓上基本类似。用于外导体板118的其它适当几何形状和尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4E中那样继续进行,图4E是根据本公开内容的实施例的在形成硬掩模层120和抗蚀剂层122之后的图4D的IC100的截面图。从图4E可以看出,可以在IC100的表面上方(例如,抛光停止层106和绝缘体层114、阻挡/种层116和/或外导体板118的暴露端上方)形成硬掩模层120。根据本公开内容将会认识到,根据一些实施例,硬掩模层120可以由上文例如参考硬掩模层108所述的示例硬掩模材料的任一种形成。将要进一步认识到,根据一些实施例,可以利用上文例如参考硬掩模层108所述的示例技术的任一种形成硬掩模层120。
此外,可以根据需要针对给定目标应用或最终用途来定制硬掩模层120的尺寸。例如,在一些实施例中,硬掩模层120可以具有大约1.0-5.0μm范围中的厚度(例如,大约1.0-2.5μm、大约2.5-5.0μm或大约1.0-5.0μm范围中的任何其它子范围)。在一些情况下,可以至少部分基于要向IC100中蚀刻的开口124(下文论述)的期望深度,选择硬掩模层120的厚度。在一些情况下,硬掩模层120可以在由例如IC100的任何下方层(例如,抛光停止层106、绝缘体层114、阻挡/种层116和/或外导体板118)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将硬掩模层120提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为硬掩模层120提供不均匀或以其它方式变化的厚度。例如,在一些情况下,硬掩模层120的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于形成硬掩模层120的其它适当配置、尺寸、材料和技术将取决于给定应用并将根据本公开内容而显而易见。
同样,从图4E进一步看出,可以在IC100的表面上方(例如,在硬掩模层120上方)形成抗蚀剂层122。根据本公开内容将会认识到,根据一些实施例,抗蚀剂层122可以由上文例如参考抗蚀剂层110所述的示例抗蚀剂材料的任一种形成。将要进一步认识到,根据一些实施例,可以利用上文参考例如抗蚀剂层110所述的示例技术的任一种来形成(例如,沉积、曝光和/或显影)抗蚀剂层122。用于形成抗蚀剂层122的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制抗蚀剂层122的尺寸。例如,在一些实施例中,抗蚀剂层122可以具有大约0.3-5.0μm范围中的厚度(例如,大约0.3-2.5μm、大约2.5-5.0μm或大约0.3-5.0μm范围中的任何其它子范围)。在一些情况下,抗蚀剂层122可以在例如由IC100的任何下方层(例如,硬掩模层120)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将抗蚀剂层122提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为抗蚀剂层122提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,抗蚀剂层122的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于抗蚀剂层122的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
根据一些实施例,可以处理抗蚀剂层122以在其中形成一个或多个开口122'。根据一些实施例,可以在IC100中要形成内板开口124(下文所述)的区域上方形成开口122'。可以根据需要针对给定目标应用或最终用途来定制抗蚀剂层122的给定开口122'的尺寸。可以为给定开口122'提供深度,例如,该深度贯穿抗蚀剂层122的整个厚度,因此在一些情况下暴露下方硬掩模层120的表面。同样,根据本公开内容将会认识到,可以至少部分基于可能在其下方形成的内板开口124(下文所述)的宽度/直径(WI)确定给定开口122'的宽度。用于一个或多个开口122'的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4F中那样继续进行,图4F是根据本公开内容的实施例的在形成内板开口124之后的图4E的IC100的截面图。根据一些实施例,可以利用图案化抗蚀剂层122(例如,图案化成具有一个或多个开口122')作为掩模,蚀刻透过硬掩模层120、抛光停止层120、阻挡/种层116、绝缘体层114和/或一个或多个前端层104并进入半导体层102,在IC100中形成内板开口124。为此目的,根据一些实施例,可以利用各向异性干法等离子体蚀刻工艺形成内板开口124。可以根据需要定制蚀刻化学试剂,在一些情况下,例如可以是可在脉冲式或时间复用(例如,Bosch工艺)蚀刻中利用的化学试剂。在一些情况下,选择的蚀刻工艺可以对外导体板118的材料(例如,Cu)是选择性的,但对绝缘体层114的材料(例如,SiO2)或阻挡/种层116的材料(例如,Ti、Ta)没有选择性,因此在蚀刻工艺期间,从电容器101的外导体板118的内侧壁去除了绝缘体层114和阻挡/种层116的一部分,而外导体板118保持基本不变(例如不受影响或以其它方式受的影响可忽略)。因此,在某种意义上,根据一些实施例,可以将内导体板132(下文所述)的位置视为与外导体板118的位置自对准。用于形成内板开口124的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
如本文所论述,根据一些实施例,可以配置内板开口124以至少部分容纳:(1)阻挡层126;(2)电容器电介质层128;(3)阻挡/种层130和/或(4)电容器101的内导体板132。为此,根据一些实施例,可以根据需要,针对给定目标应用或最终用途,定制内板开口124的几何形状和/或尺寸。例如,在一些情况下,内板开口124可以具有一般地筒状的几何形状,其截面轮廓可以一般地为圆形。在一些实施例中,内板开口124可以具有例如在大约50-100μm范围中的深度(DI)(例如,大约50-75μm、大约75-100μm或大约50-100μm范围中的任何其它子范围)。在一些情况下,可以为内板开口124提供深度DI,该深度稍大于上述外板开口112深度DO(例如,在其约20%内)。在一些实施例中,内板开口124可以具有例如在大约2-10μm范围中的宽度/直径(WI)(例如,大约2-6μm、大约6-10μm或大约2-10μm范围中的任何其它子范围)。用于内板开口124的其它适当几何形状和尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4G中那样继续进行,图4G是根据本公开内容的实施例的在去除任何剩余的图案化抗蚀剂层122和硬掩模层120之后并且在形成阻挡层126、电介质层128、阻挡/种层130和金属层132之后的图4F的IC100的截面图。可以看出,可以从IC100去除任何剩余的抗蚀剂层122和硬掩模层120。然而,应当指出,在一些情况下,用于形成内板开口124的蚀刻时间可能足够长,例如,使得可以在这样的蚀刻处理期间部分或全部蚀刻掉抗蚀剂层122。同样,在一些情况下,可以进行一次或多次额外清洁,例如,以去除绝缘体层102的蚀刻处理期间可能已经形成的任何蚀刻聚合物,以形成内板开口124。用于去除抗蚀剂层122和/或硬掩模层120的剩余部分(如果有的话)的其它适用技术将取决于给定应用,并将根据本公开内容而变得显而易见。
从图4G可以看出,可以在IC100的表面上方(例如,在抛光停止层106、绝缘体层114、阻挡/种层116、外导体板118、半导体层102和/或内板开口124提供的形貌上方)形成阻挡层126。根据一些实施例,阻挡层126可以被配置成至少部分充当扩散阻挡,以防止或以其它方式减少金属层118的材料扩散到周围层中。根据本公开内容将会认识到,根据一些实施例,阻挡层126可以由上文例如参考阻挡/种层116所述的示例阻挡层材料的任一种形成。在一些其它实施例中,阻挡层126可以由钽(Ta)、钛(Ti)、氮化钛(TiN)、氮化钽(TaN)和/或其任一种或多种的组合形成。在一些情况下,阻挡/种层116和阻挡层126的材料组成可以彼此不同,并且因此那些层116和126可以在IC100上方的其界面处保持彼此物理上不同。然而,在一些其它情况下,阻挡/种层116和阻挡层126可以具有相似的材料组成,并且因此可以在IC100上方其界面处消除或以其它方式减小那些层116和126之间的物理区别。进一步将要认识到,根据一些实施例,可以利用上文参考例如阻挡/种层116所述的示例技术的任一种来形成阻挡层126。用于形成阻挡层126的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制阻挡层126的尺寸。例如,在一些情况下,可以在IC100上方沉积充分大量的阻挡层126,以确保其在内板开口124内的部分具有大约5-20nm范围中的厚度(例如,大约5-15nm或大约5-20nm范围中的任何其它子范围)。在一些情况下,阻挡层126可以在由例如IC100的任何下方层(例如,抛光停止层106、绝缘体层114、阻挡/种层116、外导体板118、半导体层102和/或内板开口124)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将阻挡层126提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为阻挡层126提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,阻挡层126的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于阻挡层126的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
从图4G进一步可以看出,可以在IC100的表面上方(例如,阻挡层126上方)形成电介质层128。根据一些实施例,电介质层128可以被配置成至少部分充当电容器101的中间绝缘/电介质层。为此,电介质层128可以由任何适当的电介质或绝缘体材料(或这种材料的组合)形成。例如,在一些实施例中,电介质层128可以由氧化铪(HfO2)、氧化铝(Al2O3)、二氧化硅(SiO2)、二氧化锆(ZrO2)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)和/或其任一种或多种的组合形成。在一些情况下,电介质层128可以是介电常数(k值)大于或等于约3.9(例如大于或等于约5.0;大于或等于约10.0;大于或等于约15.0;大于或等于约20.0;大于或等于约25.0)的电介质材料。在一些其它情况下,电介质层128可以是k值小于约3.9(例如小于约3.0;小于约2.0;小于约1.0)的电介质材料。在更一般意义上,根据一些实施例,可以根据需要,针对给定目标应用或最终用途,定制电介质层128的材料组成和介电性质。用于电介质层128的其它适当材料将取决于给定应用并将根据本公开内容而显而易见。
可以利用各种技术的任一种在IC100上方形成电介质层128。例如,根据一些实施例,可以利用原子层沉积(ALD)工艺、诸如等离子体增强的CVD(PECVD)的组合化学气相沉积(CVD)工艺和/或其一种或多种的组合来形成电介质层128。用于形成电介质层128的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制电介质层128的尺寸。例如,在一些实施例中,可以在IC100上方沉积充分大量的电介质层128,以确保其在内板开口124内的部分具有大约50-200nm范围中的厚度(例如,大约50-125nm,大约125-200nm,或大约50-200nm范围中的任何其它子范围)。在一些情况下,电介质层128可以在例如由IC100的任何下方层(例如,阻挡层126)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将电介质层128提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为电介质层128提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,电介质层128的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于电介质层128的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
此外,从图4G可以看出,可以在IC100的表面上方(例如,电介质层128上方)形成阻挡/种层130。根据一些实施例,阻挡/种层130可以被配置成至少部分充当:(1)扩散阻挡,防止或以其它方式减少金属层132(下文所述)的材料扩散到周围层中;以及(2)种层,用于在内板开口124内沉积金属层132(下文所述)。为此,在一些情况下,阻挡/种层130可以被配置为叠置层(例如,双层),例如包括钛和铜的双层(Ti/Cu)和/或钽和铜的双层(Ta/Cu)。然而,应当指出,本公开内容不限于仅包括含铜(Cu)的阻挡/种层130,因为在更一般的意义上并根据某些其它实施例,可以使选择的种材料匹配被用作金属层132的材料。同样,根据本公开内容将会认识到,根据一些实施例,可以利用上文参考例如阻挡/种层116所述的示例性技术的任一种来形成阻挡/种层130。用于形成阻挡/种层130的其它适当配置、材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制阻挡/种层130的尺寸。例如,在一些情况下,可以在IC100上方沉积充分大量的阻挡/种层130,以确保其在内板开口124内的阻挡层部分具有大约5-20nm范围中的厚度(例如,大约5-15nm或大约5-20nm范围中的任何其它子范围)。在一些示例情况下,可以在IC100上方沉积充分大量的阻挡/种层130,以确保其在内板开口124内的种层部分具有大约50-150nm范围中的厚度(例如,大约75-125nm或大约50-150nm范围中的任何其它子范围)。在一些情况下,阻挡/种层130可以在例如由IC100的任何下方层(例如,电介质层128)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将阻挡/种层130提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为阻挡/种层130提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,阻挡/种层130的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于阻挡/种层130的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
从图4G进一步可以看出,可以在IC100的表面上方(例如,阻挡/种层130上方)形成金属层132。根据本公开内容将会认识到,根据一些实施例,金属层132可以由上文例如参考金属层118所述的示例导电材料的任一种形成。将要进一步认识到,根据一些实施例,可以利用上文参考例如金属层118所述的示例技术的任一种形成金属层132。用于形成金属层132的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
同样,如下文参考图4H所述,可以根据需要针对给定目标应用或最终用途定制金属层132的尺寸。例如,在一些情况下,可以在IC100上方沉积充分大量的金属层132,以确保其填充内板开口124的至少一部分(例如,在其中形成阻挡层126、电介质层128和阻挡/种层130之后的剩余部分)。在一些其它情况下,例如,可以在IC100的任何下方层(例如,阻挡/种层130)提供的形貌上方,为金属层132提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,金属层132的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。在一些情况下,金属层132可以完全填充内板开口124的剩余部分(例如,在其中形成阻挡层126、电介质层128和阻挡/种层130之后)。用于金属层132的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4H中那样继续进行,图4H是根据本公开内容的实施例的在平面化之后的图4G的IC100的截面图。根据本公开内容将会认识到,在一些情况下可能希望对IC100进行平面化,例如,以去除(1)金属层132、(2)阻挡/种层130、(3)电介质层128和/或(4)阻挡层126的任何不希望的过多量(例如,装载过多)。为此,根据本公开内容将显而易见的是,可以对IC100进行例如如下工艺:化学机械平面化(CMP)工艺;蚀刻和清洁工艺;和/或任何其它适当的平面化/抛光工艺。在一些情况下,选择的平面化/抛光工艺可以是对例如抛光停止层106是选择性的,使得层106在完成平面化/抛光工艺之后保持基本不受影响。用于对IC100进行平面化的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
在平面化之后,金属层132的一部分可以保留在内板开口124内。根据一些实施例,例如,可以将金属层132的这一剩余部分用作电容器101的内导体板(例如,在下文中称为内导体板132)。根据本公开内容将会认识到,内导体板132的几何形状和/或尺寸可以至少部分取决于其关联内板开口124和其中的任何附加层(例如,阻挡层126、电介质层128和阻挡/种层130)的几何形状和/或尺寸。因此,在一些情况下,内导体板132可以具有大约50-100μm范围中的长度(例如,大约50-75μm,大约75-100μm,或大约50-100μm范围中的任何其它子范围)。在一些情况下,内导体板132可以具有例如在大约2-10μm范围中的宽度/直径(例如,大约2-6μm、大约6-10μm或大约2-10μm范围中的任何其它子范围)。在内板开口124具有一般地圆形截面轮廓的一般地筒状几何形状的一些情况下,例如,内导体板132可以在几何形状和轮廓上基本类似。在一些情况下,例如,可以将内导体板132配置为穿主体过孔(TBV)。同样,如前所述,在一些实施例中,可以将内导体板132的位置视为例如与外导体板118的位置自对准。用于内导体板132的其它适当几何形状和尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4I中那样继续进行,图4I是根据本公开内容的实施例的在形成一个或多个后端层134和金属凸块层136之后的图4H的IC100的截面图。可以看出,IC100可以包括其上方形成的一个或多个后端层134。在一些实施例中,后端层134可以至少部分形成于抛光停止层106上方。然而,本公开内容不受此限制,在一些其它实施例中,可能希望在IC100上方形成一个或多个后端层134之前部分或完全去除抛光停止层106。根据本公开内容,很多配置将是显而易见的。根据一些实施例,后端层134可以包括:(1)一个或多个后端线路层;(2)一个或多个远后端钝化层;和/或(3)一个或多个金属再分布层(RDL)。在一些情况下,后端层134可以包括一个或多个解耦电容器。在一些情况下,后端层134可以包括一个或多个随机存取存储器件,例如嵌入式动态随机存取存储器(eDRAM)和/或自旋转移矩随机存取存储器(STT-RAM)。在一些情况下,可以在一个或多个后端层134可提供的结构上填充附加层和/或部件。IC100的一个或多个后端层134中可以包括的其它适当层和/或器件将取决于给定应用并将根据本公开内容而显而易见。
从图4I可以进一步看出,IC100可以包括形成于其上方(例如,一个或多个后端层134上方)的金属凸块层136。可以如通常所做那样,利用任何适当的导电金属(或这种材料的组合)形成金属凸块层136。例如,在一些情况下,金属凸块层136可以由铅锡(Pb-Sn)焊料、锡银(Sn-Ag)焊料、锡铜(Sn-Cu)焊料、金(Au)和/或其任一种或多种的组合形成。同样,可以如通常所做那样,使用任何适当技术,在IC100上方形成金属凸块层136。此外,可以根据需要针对给定目标应用或最终用途来定制金属凸块层136的尺寸。用于形成金属凸块层136的其它适当材料、尺寸和技术将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4J中那样继续进行,图4J是根据本公开内容的实施例的在沉积粘合层138并与载体衬底140结合之后的图4I的IC100的截面图。可以看出,可以在IC100的表面上方(例如,金属凸块层136和一个或多个后端层134上方)沉积粘合层138。如通常那样,粘合层138可以由任何适当的粘合结合材料(或这种材料的组合)形成。例如,根据一些实施例,粘合层138可以由溶剂释放胶、激光释放胶和/或热释放胶形成。同样,根据本公开内容将会认识到,如通常那样,可以利用任何适当技术在IC100上方形成粘合层138。此外,可以根据需要针对给定目标应用或最终用途定制用于粘合层138的粘合材料量。用于形成粘合层138的其它适当材料、量和技术将取决于给定应用并将根据本公开内容而显而易见。
根据一些实施例,可以通过暂时方式或根据需要将载体衬底140与IC100的表面结合。可以根据需要针对给定目标应用或最终用途定制载体衬底140的材料组成和配置。在一些情况下,载体衬底140可以是,例如裸硅晶片或玻璃晶片。在一些情况下,如果粘合层138包括溶剂释放胶,那么载体衬底140可以包括穿孔,例如,以辅助施加溶剂,用于从IC100脱粘载体衬底140。在一些情况下,如果粘合层138包括激光释放胶,那么载体衬底140可以是透明的,例如,以辅助施加激光,用于从IC100脱粘载体衬底140。同样,可以根据需要针对给定目标应用或最终用途定制载体衬底140的尺寸。载体衬底140的其它适当材料、配置和尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4K中那样继续进行,图4K是根据本公开内容的实施例在其减薄之后图4J的IC100的截面图。根据一些实施例,可以对IC100进行减薄,以去除(1)半导体层102;(2)阻挡层126;(3)绝缘体层114;(4)电介质层128;(5)阻挡/种层116和/或(6)阻挡/种层130的任何不想要的部分。为此,根据本公开内容将显而易见的是,可以对IC100进行例如如下工艺:研磨工艺;化学机械平面化(CMP)工艺;蚀刻和清洁工艺(例如,使用等离子体蚀刻和/或体硅蚀刻剂);和/或任何其它适当的平面化/抛光工艺。在一些情况下,在减薄之后,如果需要,可以在IC100的表面暴露出电容器101的内导体板132和外导体板118,显露出电容器101的暴露端以进行额外的处理。同样,在减薄之后,可以对IC100进行一个或多个清洁工艺,例如,以从半导体层102的表面去除任何铜(Cu)或其它导电金属(板132和118可以由其制成)。根据一些实施例,这样能够帮助防止或以其它方式减少金属通过半导体层102扩散并进入一个或多个前端层104中。在一些情况下,这样可以帮助最小化或以其它方式减小晶体管器件的性能下降。用于减薄IC100的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4L中那样继续进行,图4L是根据本公开内容的实施例,在形成电介质层142和抗蚀剂层144之后,图4K的IC100的截面图。可以看出,可以在IC100的表面上方(例如,在半导体层102、绝缘体层114、阻挡/种层116、外导体板118、阻挡层126、电介质层128、阻挡/种层130和/或内导体板132提供的形貌上方)形成电介质层142。电介质层142可以由各种适当电介质材料的任一种形成。例如,在一些情况下,电介质层142可以由氮化硅(Si3N4)、碳化硅(SiC)、二氧化硅(SiO2)和/或其任一种或多种的组合形成。在一些情况下,根据一些实施例,电介质层142可以至少部分充当钝化层,钝化层提供密封阻挡,密封阻挡保护下方的半导体层102免受痕量金属和/或水分污染。同样,可以利用任何适当技术在IC100上方形成电介质层142,在一些情况下,例如,可以利用化学气相沉积(CVD)工艺,例如等离子体增强的CVD(PECVD)工艺形成电介质层142。用于形成电介质层142的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制电介质层142的尺寸。例如,在一些实施例中,电介质层142可以具有大约0.5-2.0μm范围中的厚度(例如,大约0.5-1.25μm、大约1.25-2.0μm或大约0.5-2.0μm范围中的任何其它子范围)。在一些情况下,电介质层142可以在由例如IC100的任何下方层(例如,半导体层102、绝缘体层114、阻挡/种层116、外导体板118、阻挡层126、电介质层128、阻挡/种层130和/或内导体板132)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将电介质层142提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为电介质层142提供不均匀或以其它方式变化的厚度。例如,在一些情况下,电介质层142的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于电介质层142的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
可以看出,可以在IC100的表面上方(例如,电介质层142上方)形成抗蚀剂层144。根据本公开内容将会认识到,根据一些实施例,抗蚀剂层144可以由上文例如参考抗蚀剂层110所述的示例抗蚀剂材料的任一种形成。将要进一步认识到,根据一些实施例,可以利用上文参考例如抗蚀剂层110所述的示例技术的任一种来形成(例如,沉积、曝光和/或显影)抗蚀剂层144。用于形成抗蚀剂层144的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
同样,可以根据需要针对给定目标应用或最终用途来定制抗蚀剂层144的尺寸。例如,在一些实施例中,抗蚀剂层144可以具有大约0.3-5.0μm范围中的厚度(例如,大约0.3-2.5μm、大约2.5-5.0μm或大约0.3-5.0μm范围中的任何其它子范围)。在一些情况下,抗蚀剂层144可以在例如由IC100的任何下方层(例如,电介质层142)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将抗蚀剂层144提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为抗蚀剂层144提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,抗蚀剂层144的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于抗蚀剂层144的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
根据一些实施例,可以处理抗蚀剂层144以在其中形成一个或多个开口144'。根据一些实施例,可以在抗蚀剂层144中在电容器101的外导体板118上方位置形成给定开口144'。类似地,根据一些实施例,可以在抗蚀剂层144中在电容器101的内导体板132上方位置形成开口144'。可以根据需要针对给定目标应用或最终用途来定制抗蚀剂层144的给定开口144'的尺寸。可以为给定开口144'提供深度,例如,该深度贯穿抗蚀剂层144的整个厚度,使得在一些情况下暴露下方电介质层142的表面。同样,根据本公开内容将会认识到,可以至少部分基于可能在电介质层142内形成的对应开口142'(下文所述)的尺寸确定给定开口144'的宽度。用于一个或多个开口144'的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4M中那样继续进行,图4M是根据本公开内容的实施例的在对电介质层142图案化之后的图4L的IC100的截面图。可以看出,可以在电介质层142中形成一个或多个开口142'。根据一些实施例,可以处理电介质层142,使得在电容器101的外导体板118上方形成开口142',在电容器101的内导体板132上方形成另一个开口142'。如本文所论述,根据一些实施例,利用这样的构造,开口142'可以允许电气端子连接到内导体板132和外导体板118。同样,根据本公开内容将会认识到,给定开口142'的尺寸(例如,宽度)可以根据需要针对给定目标应用或最终用途而定制,并可以至少部分取决于与其相关联的抗蚀剂层144的开口144'的尺寸(例如,宽度)。
根据一些实施例,可以利用图案化的抗蚀剂层144(例如,图案化成具有一个或多个开口144')作为掩模并蚀刻透过电介质层142来形成开口142'。为此,根据一些实施例,可以使用各向异性干法等离子体蚀刻工艺和/或湿法蚀刻工艺,形成开口142'。可以根据需要定制蚀刻化学试剂,在一些情况下,例如可以是可在脉冲式或时间复用(例如,Bosch工艺)蚀刻中利用的化学试剂。在电介质层142包括氮化硅(Si3N4)的一些情况下,例如,可以使用利用氢氟(HF)酸或稀释HF(例如,NH4F/HF)酸或热磷酸(H3PO4)的湿法蚀刻化学试剂,蚀刻开口142'。在一些情况下,可能希望例如在达到下方内导体板132和/或外导体板118的时候,停止蚀刻电介质层142。用于形成电介质层142中给定开口142'的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4N中那样继续进行,图4N是根据本公开内容的实施例的在去除任何剩余图案化抗蚀剂层144并且形成阻挡/粘附层146、一个或多个再分布层(RDL)148、电介质层150和表面抛光层152之后的图4M的IC100的截面图。可以看出,可以从IC100去除任何剩余的抗蚀剂层144。同样,在一些情况下,可以进行一次或多次额外清洁,例如,以从IC100的表面去除任何剩余的蚀刻聚合物和/或残余。用于去除抗蚀剂层144的剩余部分(如果有的话)的其它适用技术将取决于给定应用,并将根据本公开内容而变得显而易见。
可以看出,可以在IC100的表面上方(例如,图案化电介质层142上方)形成阻挡/粘附层146。可以由任何适当的阻挡/粘附材料(或这种材料的组合)形成阻挡/粘附层146。例如,在一些情况下,阻挡/粘附层146可以由钛(Ti)、钽(Ta)、氮化钛(TiN)、氮化钽(TaN)、钛钨(Ti-W)合金和/或其任一种或多种的组合形成。同样,可以利用任何适当技术在IC100上方形成阻挡/粘附层146,根据一些实施例,可以利用物理气相沉积(PVD)工艺形成。用于形成阻挡/粘附层146的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制阻挡/粘附层146的尺寸。例如,在一些实施例中,阻挡/粘附层146可以具有大约250-1000A范围中的厚度(例如,大约250-500A、大约500-750A、大约750-1000A或大约250-1000A范围中的任何其它子范围)。在一些情况下,阻挡/粘附层146可以在例如由IC100的任何下方层(例如,图案化的电介质层142)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将阻挡/粘附层146提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为阻挡/粘附层146提供不均匀或以其它方式变化的厚度。例如,在一些情况下,阻挡/粘附层146的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于阻挡/粘附层146的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
同样,从图4N可以看出,可以在IC100的表面上方(例如,阻挡/粘附层146上方)形成一个或多个再分布层(RDL)148。RDL148可以由任何适当的导电金属(或这种材料的组合)形成。例如,在一些情况下,RDL148可以由铜(Cu)、铝(Al)和/或其任一种或多种的组合形成。同样,可以如通常那样利用任何适当技术在IC100上方形成RDL148。例如,根据一些实施例,可以利用过板抗蚀剂和/或半加性工艺流程形成RDL148。用于形成给定RDL148的其它适当材料和技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制给定RDL148的尺寸。例如,在一些实施例中,一个或多个RDL148可以具有大约1.0-10.0μm范围中的高度(例如,大约1.0-5.0μm、大约5.0-10.0μm或大约1.0-10.0μm范围中的任何其它子范围)。在一些情况下,给定RDL148可以包括从其延伸的过孔(或其它互连结构),其存在于电介质层142中形成的给定开口142'上方。用于一个或多个RDL148的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
从图4N进一步可以看出,可以在IC100的表面上方(例如,一个或多个RDL148和/或电介质层142上方)形成电介质层150。根据一些实施例,电介质层150可以至少部分充当钝化层(例如,远后端钝化层),其提供密封阻挡,保护一个或多个下方的RDL148。为此,电介质层150可以由任何适当的电介质(或这种材料的组合)形成。例如,在一些情况下,电介质层150可以由氮化硅(Si3N4)、碳化硅(SiC)和/或其任一种或多种的组合形成。在一些情况下,电介质层150可以被配置成充当用于逻辑/存储器接口或用于凸块结构的电介质层,所述接口或凸块结构将有源管芯的背侧连接到另一个(例如,上方)管芯。用于电介质层150的其它适当材料将取决于给定应用并将根据本公开内容而显而易见。
同样,可以利用各种技术的任一种在IC100上方形成电介质层150。例如,根据一些实施例,可以利用化学气相沉积(CVD)工艺,例如等离子体增强CVD(PECVD)来形成电介质层150。用于形成电介质层150的其它适当技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制电介质层150的尺寸。例如,在一些实施例中,电介质层150可以具有大约0.5-2.0μm范围中的厚度(例如,大约0.5-1.25μm、大约1.25-2.0μm或大约0.5-2.0μm范围中的任何其它子范围)。在一些情况下,电介质层150可以在例如由IC100的任何下方层(例如,RDL148和/或电介质层142)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将电介质层150提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为电介质层150提供不均匀的或以其它方式变化的厚度。例如,在一些情况下,电介质层150的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于电介质层150的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
根据一些实施例,可以对电介质层150图案化,以在其中具有一个或多个开口150'(例如,着陆垫开口)。根据一些实施例,可以在电介质层150中在与电容器101的外导体板118相关联的RDL148上方位置处形成给定开口150'。类似地,根据一些实施例,可以在电介质层150中在与电容器101的内导体板132相关联的RDL148上方位置形成开口150'。可以根据需要针对给定目标应用或最终用途来定制电介质层150的给定开口150'的尺寸。可以为给定开口150'提供深度,例如,该深度贯穿电介质层150的整个厚度,因此在一些情况下暴露下方RDL148的表面。用于一个或多个开口150'的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
此外,从图4N可以看出,可以在IC100的表面上方(例如,RDL148上方的给定开口150'内和/或电介质层150的一部分上方)形成表面抛光层152。根据一些实施例,表面抛光层152可以与焊料兼容,可以包括:(1)凸块部分152a;以及(2)帽盖膜部分152b。根据一些实施例,给定凸块部分152a可以至少部分存在于电介质层150中形成的开口150'内,可以与下方RDL148物理接触。根据一些实施例,给定凸块部分152a可以具有在其上形成的帽盖膜部分152b。
表面抛光层152可以由各种适当材料的任一种并使用任何适当技术或技术组合形成。例如,考虑下面的表1,表1列出了根据一些实施例用于形成表面抛光层152(例如,凸块部分152a和/或帽盖膜部分152b)的一些示例性的适当的材料和关联技术。
表1
然而,应当注意,本公开内容不限于形成表面抛光层152的这些示例性组成和技术,因为在更一般意义上,例如,可以根据针对给定目标应用或最终用途采用哪些芯片到芯片焊料材料和/或芯片到芯片附着方法来定制表面抛光层152。用于形成表面抛光层152的其它适当材料和/或技术将取决于给定应用并将根据本公开内容而显而易见。
此外,可以根据需要针对给定目标应用或最终用途来定制表面抛光层152的尺寸。例如,在一些实施例中,表面抛光层152的凸块部分152a可以具有大约2.0-4.0μm范围中的厚度(例如高度)(例如,大约2.0-3.0μm、大约3.0-4.0μm或大约2.0-4.0μm范围中的任何其它子范围)。在一些情况下,相邻凸块部分152a可以分开大约30-400μm范围中的距离(例如,大约30-120μm、大约120-210μm、大约210-300μm、大约300-400μm或大约30-400μm范围中的任何其它子范围)。在一些实施例中,表面抛光层152的帽盖膜部分152b可以具有例如大约50-200nm范围中的厚度(例如,大约50-100nm、大约100-150nm、大约150-200nm或大约50-200nm范围中的任何其它子范围)。在一些情况下,表面抛光层152的帽盖膜部分152b可以在IC100的任何下方层(例如,凸块层152a和/或电介质层150)提供的形貌上方具有基本均匀的厚度。在一些情况下,可以在这样的形貌上方将表面抛光层152的帽盖膜部分152b提供为基本保形的层。在一些其它情况下,可以在这样的形貌上方为表面抛光层152的帽盖膜部分152b提供不均匀或以其它方式变化的厚度。例如,在一些情况下,表面抛光层152的帽盖膜部分152b的第一部分可以具有第一范围内的厚度,而其第二部分具有在第二不同范围内的厚度。用于表面抛光层152(凸块部分152a;帽盖膜部分152b)的其它适当尺寸将取决于给定应用并将根据本公开内容而显而易见。
然而,应当注意,本公开内容不仅限于为IC100提供表面抛光层152。例如,根据一些其它实施例,任选地,可以在IC100上方提供一个或多个倒装芯片凸块(例如,受控塌陷芯片连接或C4)而不是表面抛光层152。在使用时,给定的倒装芯片凸块可以由任何适当的导电材料(或这种材料的组合)形成。例如,在一些情况下,给定的倒装芯片凸块可以由锡(Sn)、铜(Cu)、铟(In)、金(Au)、铅锡(Pb-Sn)合金、锡银(Sn-Ag)合金、锡银铜(Sn-Ag-Cu)合金、锡铜(Sn-Cu)合金和/或其任一种或多种的组合形成。同样,根据本公开内容将会认识到,如通常那样,可以利用任何适当的技术(例如,丝网印刷工艺和/或电镀工艺)形成给定的倒装芯片凸块。此外,可以根据需要针对给定目标应用或最终用途来定制给定倒装芯片凸块的尺寸。用于形成给定倒装芯片凸块的其它适当材料、配置和技术将取决于给定应用并将根据本公开内容而显而易见。
该过程可以如图4O中那样继续进行,图4O是根据本公开内容的实施例的在脱粘载体衬底140之后的图4N的IC100的截面图。如典型所做那样,可以利用任何适当的处理技术从IC100脱粘载体衬底140。根据本公开内容将会认识到,给定脱粘技术的选择可以至少部分取决于所用粘合层138的类型(例如,溶剂释放、激光释放和/或热释放)。在脱粘载体衬底140之后,IC100可根据需要进行下游使用和/或进一步处理。例如,根据一些实施例,IC100可以提供一种结构,可以在其上填充额外层和/或部件。
根据一些实施例,可以利用公开的技术提供针对多种电连接的任一种所配置的穿主体过孔(TBV)隔离的共轴电容器101。例如,根据一些实施例,内导体板132可以与RDL148电连接,外导体板118可以与相同和/或不同的RDL148电连接。根据一些其它实施例,内导体板132可以与后端层134电连接,外导体板118可以与相同和/或不同的后端层134电连接。在一些实施例中,内导体板132可以与RDL148电连接,而外导体板118可以与后端层134电连接。在一些其它实施例中,内导体板132可以与后端层134电连接,而外导体板118可以与RDL148电连接。
在一些情况下,例如,内导体板132可以在两个或更多位置与后端层134电连接。在一些情况下,例如,内导体板132可以在两个或更多位置与后端层134电连接。在一些情况下,例如,外导体板118可以在两个或更多位置与后端层134电连接。在一些情况下,例如,内导体板132可以在两个或更多位置与RDL148电连接。在一些实施例中,内导体板132可以与RDL148以及后端层134电连接。在一些实施例中,外导体板118可以与RDL148以及后端层134电连接。根据本公开内容,很多配置和组合将是显而易见的。
在一些情况下,可能希望将多个TBV隔离的共轴电容器101彼此电连接,例如,以根据需要,针对给定目标应用或最终用途,提供更高和/或更低电容值。为此,在一些实施例中,可以串联连接两个或更多电容器101。在一些其它实施例中,可以并联连接两个或更多电容器101。对于并联连接而言,在一些这样的情况下,其阵列中的每个电容器101都可以通过将其相应内导体板132(例如,通过RDL和/或有源金属层)短接在一起并通过将其相应的外导体板118(例如,通过RDL和/或有源金属层)短接在一起而连接。进一步对于并联连接而言,在一些这样的情况下,阵列中的每个电容器101都可以通过形成其相应的外导体板118以具有公共/共享的外侧壁而连接。亦即,可以将相邻的电容器101形成得彼此充分靠近,使得其相应外导体板118的外侧壁在一个或多个点处彼此物理接触。在一些情况下,这样的构造可以帮助节省可用管芯面积。在一些其它情况下,电容器101可以包括被单一外导体板118环绕的多个(例如,两个、三个、四个、五个或更多)内导体板132。用于TBV隔离的共轴电容器101的其它适当配置和变化将根据本公开内容而显而易见。
示例性系统
图5示出了根据示例实施例的利用公开的技术形成的集成电路结构或器件所实现的计算系统1000。可以看出,计算系统1000包含母板1002。母板1002可以包括若干部件,包括,但不限于处理器1004和至少一个通信芯片1006,它们各自可以物理和电耦合到母板1002或以其它方式集成于其中。将要认识到,母板1002例如可以是任何印刷电路板,无论是主板、安装于主板上的子板或系统100唯一的板等。根据其应用,计算系统1000可以包括一个或多个其它部件,所述一个或多个其它部件可以物理和电耦合至或不耦合至母板1002。这些其它部件可以包括,但不限于易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)装置、罗盘、加速度计、回转仪、扬声器、相机和大容量存储装置(例如硬盘驱动器、光盘(CD)、数字多用盘(DVD)等)。计算系统1000中包括的任何部件可以包括一个或多个根据示例性实施例利用公开的技术形成的集成电路结构或器件。在一些实施例中,可以将多种功能集成到一个或多个芯片中(例如,注意,通信芯片1006可以是处理器1004的一部分或以其它方式集成到其中)。
通信芯片1006使能用于往返于计算系统1000进行数据传输的无线通信。术语“无线”及其派生词可用于描述可通过非固体媒介使用调制电磁辐射传送数据的电路、装置、系统、方法、技术、通信信道等。该术语并非暗示关联的装置不包含任何连线,但在一些实施例中它们可以不包含。通信芯片1006可以实施若干无线标准或协议的任一种,包括,但不限于Wi-Fi(IEEE802.11系列)、WiMAX(IEEE802.16系列)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其衍生物,以及被指定为3G、4G、5G和更高代的任何其它无线协议。计算系统1000可以包括多个通信芯片1006。例如,第一通信芯片1006可以专用于更短距离的无线通信,例如Wi-Fi和蓝牙,第二通信芯片1006可以专用于更长距离的无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算系统1000的处理器1004包括封装在处理器1004内的集成电路管芯。在一些实施例中,如本文各处所述,处理器的集成电路管芯包括板上电路,利用公开的技术形成的一个或多个集成电路结构或器件实现该板上电路。术语“处理器”可以指处理例如来自寄存器和/或存储器的电子数据以将该电子数据转换成可以在寄存器和/或存储器中存储的其它电子数据的任何装置或装置部分。
通信芯片1006还可以包括封装于通信芯片1006内的集成电路管芯。根据一些这样的示例性实施例,通信芯片的集成电路管芯包括一个或多个利用本文所述的所公开技术形成的集成电路结构或器件。根据本公开内容将会认识到,注意,可以将多标准无线能力直接集成到处理器1004中(例如,其中将任何芯片1006集成到处理器1004中,而不是具有独立的通信芯片)。进一步要指出,处理器1004可以是具有这种无线能力的芯片组。简而言之,可以使用任意数量的处理器1004和/或通信芯片1006。类似地,任一个芯片或芯片组都可以具有集成于其中的多种功能。
在各种实施方式中,计算装置1000可以是膝上计算机、上网本、笔记本、智能电话、平板计算机、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字相机、便携式音乐播放器、数字录像机或处理数据或采用如本文各处所述公开的技术形成的一个或多个集成电路结构或装置的任何其它电子装置。
其它示例性实施例
以下示例涉及更多实施例,许多置换和构造将根据这些实施例而显而易见。
示例1为一种集成电路,包括:半导体层;以及形成于半导体层内的电容器,所述电容器包括:导电穿主体过孔(TBV);环绕TBV并与其共轴布置的导电板;以及设置于TBV和板之间的电介质层。
示例2包括示例1和3-33中的任一示例的主题,其中,所述半导体层包括硅(Si)和/或硅锗(SiGe)中的至少一种。
示例3包括示例1-2和4-33中的任一示例的主题,其中,所述电容器从所述半导体层的上表面到其下表面延伸穿过所述半导体层。
示例4包括示例1-3和5-33中的任一示例的主题,其中,所述电容器具有大约6-30μm范围中的宽度/直径。
示例5包括示例1-4和6-33中的任一示例的主题,其中,所述电容器具有大约50-100μm范围中的长度。
示例6包括示例1-5和7-33中的任一示例的主题,其中,所述TBV包括铜(Cu)。
示例7包括示例1-6和8-33中的任一示例的主题,其中,所述TVB具有大约2-10μm范围中的宽度/直径。
示例8包括示例1-7和9-33中的任一示例的主题,其中,所述TVB具有大约50-100μm范围中的长度。
示例9包括示例1-8和10-33中的任一示例的主题,其中,所述板包括铜(Cu)。
示例10包括示例1-9和11-33中的任一示例的主题,其中,所述板具有大约50-100μm范围中的长度。
示例11包括示例1-10和12-33中的任一示例的主题,其中,所述电介质层具有大约50-200nm范围中的厚度。
示例12包括示例1-11和13-33中的任一示例的主题,其中,所述电介质层包括氧化铪(HfO2)、氧化铝(Al2O3)、二氧化硅(SiO2)、二氧化锆(ZrO2)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)中的至少一种和/或其任一种或多种的组合。
示例13包括示例1-12和15-33中的任一示例的主题,其中,所述电介质层具有大于或等于大约3.9的介电常数(k值)。
示例14包括示例1-12和15-33中的任一示例的主题,其中,所述电介质层具有小于大约3.9的介电常数(k值)。
示例15包括示例1-14和16-33中的任一示例的主题,还包括设置于所述半导体层和所述电容器极板之间的绝缘体层。
示例16包括示例15的主题,其中,所述绝缘体层包括二氧化硅(SiO2)、聚酰亚胺中的至少一种和/或其任一种或多种的组合。
示例17包括示例15的主题,其中,所述绝缘体层具有大约100-400nm范围中的厚度。
示例18包括示例1-17和19-33中的任一示例的主题,还包括设置于所述电介质层和所述TBV之间的阻挡层。
示例19包括示例18的主题,其中,所述阻挡层被配置为包括钛和铜(Ti/Cu)和/或钽和铜(Ta/Cu)中的至少一种的双层。
示例20包括示例1-19和21-33中的任一示例的主题,还包括设置于所述电介质层和所述板之间的阻挡层。
示例21包括示例20的主题,其中所述阻挡层包括由钽(Ta)、钛(Ti)、氮化钛(TiN)、氮化钽(TaN)中的至少一种和/或其任一种或多种的组合。
示例22包括示例1-21和23-33中的任一示例的主题,还包括设置于所述板和所述半导体层之间的阻挡层。
示例23包括示例22的主题,其中,所述阻挡层被配置为包括钛和铜(Ti/Cu)和/或钽和铜(Ta/Cu)中的至少一种的双层。
示例24包括示例1-23和25-33中的任一示例的主题,还包括形成于所述半导体层表面上方的前端晶体管层。
示例25包括示例1-24和26-33中的任一示例的主题,还包括形成于所述半导体层表面上方的金属再分布层(RDL),其中所述RDL与所述TBV和/或所述板中的至少一个电子接触。
示例26包括示例1-25和27-33中的任一示例的主题,还包括形成于所述半导体层表面上方的后端层,其中所述后端层与所述TBV和/或所述板中的至少一个电子接触。
示例27包括示例26的主题,其中,所述后端层包括布线层、钝化层和/或金属再分布层(RDL)中的至少一个。
示例28包括示例26的主题,其中,所述后端层包括随机存储存储器件。
示例29包括示例26的主题,还包括形成于所述后端层上方的表面抛光层。
示例30包括示例26的主题,还包括形成于所述后端层上方的金属凸块层。
示例31包括示例30的主题,其中,所述金属凸块层包括铅锡(Pb-Sn)焊料、锡银(Sn-Ag)焊料、锡铜(Sn-Cu)焊料、金(Au)中的至少一种和/或其任一种或多种的组合。
示例32包括示例1-31中的任一示例的主题,还包括结合到其上的载体衬底。
示例33是一种包括示例1-31中的任一示例的主题的开关式电容器电压调节器(SCVR)。
示例34是一种形成集成电路的方法,所述方法包括:在半导体层内形成导电板;在由板界定的内部区域内形成电介质层;以及在由所述电介质层界定的内部区域内形成导电穿主体过孔(TBV),其中所述TBV和板是共轴布置的,且其中所述电介质层电隔离所述TBV和板。
示例35包括示例34和36-45中的任一示例的主题,还包括在所述半导体层和所述板之间形成绝缘体层。
示例36包括示例34-35和37-45中的任一示例的主题,还包括在所述半导体层和所述板之间形成阻挡层。
示例37包括示例34-36和38-45中的任一示例的主题,还包括在所述板和所述电介质层之间形成阻挡层。
示例38包括示例34-37和39-45中的任一示例的主题,还包括在所述电介质层和所述TBV之间形成阻挡层。
示例39包括示例34-38和40-45中的任一示例的主题,还包括在所述半导体层上方形成前端晶体管层。
示例40包括示例34-39和41-45中的任一示例的主题,还包括在所述半导体层、板、电介质层和TBV上方形成后端层,其中,所述后端层与所述板和/或TBV中的至少一个电子接触。
示例41包括示例40的主题,还包括将载体衬底结合到所述后端层。
示例42包括示例34-41和43-45中的任一示例的主题,还包括将所述板和/或TBV中的至少一个与所述再分布层(RDL)电连接。
示例43包括示例42的主题,还包括在所述RDL上方形成表面抛光层。
示例44是利用包括示例34-43和45中的任一示例的主题的方法形成的集成电路。
示例45是一种包括示例44的主题的开关式电容器电压调节器(SCVR)。
示例46为一种集成电路,包括:具有第一管芯表面的第一半导体管芯,所述第一管芯表面包括形成于所述第一管芯表面处的第一互连层;具有第二管芯表面的第二半导体管芯,所述第二管芯表面包括形成于所述第二管芯表面处的第二互连层;以及形成于所述第二半导体管芯中的圆柱形电容器,所述圆柱形电容器包括:铜穿主体过孔(TBV);环绕所述TBV并与其共轴布置的铜板;以及设置于所述TBV和所述板之间的电介质层;其中所述圆柱形电容器电连接所述第一和第二互连层。
示例47包括示例46和48-51中的任一示例的主题,其中,所述圆柱形电容器与再分布层(RDL)和/或后端层中的至少一个电连接。
示例48包括示例46-47和49-51中的任一示例的主题,其中,所述电介质层包括氧化铪(HfO2)、氧化铝(Al2O3)、二氧化硅(SiO2)、二氧化锆(ZrO2)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)中的至少一种和/或其任一种或多种的组合。
示例49包括示例46-48和51中的任一示例的主题,其中,所述电介质层具有大于或等于大约3.9的介电常数(k值)。
示例50包括示例46-48和51中的任一示例的主题,其中,所述电介质层具有小于大约3.9的介电常数(k值)。
示例51包括示例46-50中的任一示例的主题,其中,所述圆柱形电容器与所述开关式电容器电压调节器(SCVR)电连接。
已经出于图示和描述的目的给出了示例性实施例的以上描述。它并非旨在穷举或将本公开内容限制到所述公开的精确形式。根据本公开内容,许多修改和变化是可能的。旨在使本公开内容的范围不受本详细描述的限制而受其所附权利要求的限定。将来提交的要求享有本申请优先权的申请可以通过不同方式要求所公开的主题,并且一般可以包括本文各处公开或以其它方式展示的一个或多个限制的任何集合。

Claims (25)

1.一种集成电路,包括:
半导体层;以及
形成于所述半导体层内的电容器,所述电容器包括:
导电的穿主体过孔(TBV);
环绕所述TBV并且与所述TBV共轴布置的导电板;以及
设置于所述TBV和所述板之间的电介质层。
2.根据权利要求1所述的集成电路,其中,所述电容器从所述半导体层的上表面到所述半导体层的下表面延伸穿过所述半导体层。
3.根据权利要求1所述的集成电路,其中,所述电容器具有大约6-30μm的范围内的宽度/直径。
4.根据权利要求1所述的集成电路,其中,所述TBV具有大约2-10μm的范围内的宽度/直径,并且其中,所述电介质层具有大约50-200nm的范围内的厚度。
5.根据权利要求1所述的集成电路,其中,所述电介质层具有大于或等于大约3.9的介电常数(k值)。
6.根据权利要求1所述的集成电路,其中,所述电介质层具有小于大约3.9的介电常数(k值)。
7.根据权利要求1所述的集成电路,还包括形成于所述半导体层的表面上方的前端晶体管层。
8.根据权利要求1所述的集成电路,还包括形成于所述半导体层的表面上方的金属再分布层(RDL),其中,所述RDL与所述TBV和/或所述板的至少其中之一电子接触。
9.根据权利要求1所述的集成电路,还包括形成于所述半导体层的表面上方的后端层,其中,所述后端层与所述TBV和/或所述板的至少其中之一电子接触。
10.根据权利要求9所述的集成电路,其中,所述后端层包括布线层、钝化层和/或金属再分布层(RDL)的至少其中之一。
11.根据权利要求9所述的集成电路,其中,所述后端层包括随机存取存储器器件。
12.根据权利要求9所述的集成电路,还包括形成于所述后端层上方的表面抛光层。
13.根据权利要求1-12中的任一项所述的集成电路,还包括结合到所述集成电路的载体衬底。
14.一种开关式电容器电压调节器(SCVR),包括根据权利要求1-12中的任一项所述的集成电路。
15.一种形成集成电路的方法,所述方法包括:
在半导体层内形成导电板;
在由所述板界定的内部区域内形成电介质层;以及
在由所述电介质层界定的内部区域内形成导电的穿主体过孔(TBV),其中,所述TBV和所述板是共轴布置的,并且其中,所述电介质层将所述TBV与所述板电子隔离。
16.根据权利要求15所述的方法,还包括:
在所述半导体层上方形成前端晶体管层。
17.根据权利要求15所述的方法,还包括:在所述半导体层、所述板、所述电介质层和所述TBV上方形成后端层,其中,所述后端层与所述板和/或所述TBV的至少其中之一电子接触。
18.根据权利要求17所述的方法,还包括:
将载体衬底结合到所述后端层。
19.根据权利要求15所述的方法,还包括:
将所述板和/或所述TBV的至少其中之一与再分布层(RDL)电子连接。
20.根据权利要求19所述的方法,还包括:
在所述RDL上方形成表面抛光层。
21.一种利用权利要求15-20中的任一项所述的方法形成的集成电路。
22.一种集成电路,包括:
具有第一管芯表面的第一半导体管芯,所述第一管芯表面包括形成于所述第一管芯表面处的第一互连层;
具有第二管芯表面的第二半导体管芯,所述第二管芯表面包括形成于所述第二管芯表面处的第二互连层;以及
形成于所述第二半导体管芯中的圆柱形电容器,所述圆柱形电容器包括:
铜穿主体过孔(TBV);
环绕所述TBV并且与所述TBV共轴布置的铜板;以及
设置于所述TBV和所述板之间的电介质层;
其中,所述圆柱形电容器电子连接所述第一互连层和所述第二互连层。
23.根据权利要求22所述的集成电路,其中,所述圆柱形电容器与再分布层(RDL)和/或后端层的至少其中之一电子连接。
24.根据权利要求22所述的集成电路,其中,所述电介质层包括氧化铪(HfO2)、氧化铝(Al2O3)、二氧化硅(SiO2)、二氧化锆(ZrO2)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)的至少其中一种和/或其中的任一种或多种的组合。
25.根据权利要求22-24中的任一项所述的集成电路,其中,所述圆柱形电容器与开关式电容器电压调节器(SCVR)电子连接。
CN201380080847.9A 2013-12-23 2013-12-23 穿主体过孔隔离的共轴电容器及其形成技术 Pending CN105706239A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/077559 WO2015099668A1 (en) 2013-12-23 2013-12-23 Through-body-via isolated coaxial capacitor and techniques for forming same

Publications (1)

Publication Number Publication Date
CN105706239A true CN105706239A (zh) 2016-06-22

Family

ID=53479344

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380080847.9A Pending CN105706239A (zh) 2013-12-23 2013-12-23 穿主体过孔隔离的共轴电容器及其形成技术

Country Status (6)

Country Link
US (1) US9911689B2 (zh)
EP (1) EP3087604A4 (zh)
KR (1) KR102230011B1 (zh)
CN (1) CN105706239A (zh)
TW (1) TWI662685B (zh)
WO (1) WO2015099668A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111788736A (zh) * 2018-04-25 2020-10-16 株式会社村田制作所 毫米波模块以及毫米波模块的制造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105706239A (zh) 2013-12-23 2016-06-22 英特尔公司 穿主体过孔隔离的共轴电容器及其形成技术
KR102311084B1 (ko) 2014-08-07 2021-10-12 인텔 코포레이션 패시브 평면형 디바이스를 갖는 rf 회로 장치 및 패시브 평면형 디바이스를 갖는 rf 회로 시스템
CN108028245A (zh) * 2015-09-23 2018-05-11 南洋理工大学 半导体器件及形成其的方法
US10121849B2 (en) * 2015-11-16 2018-11-06 Micron Technology, Inc. Methods of fabricating a semiconductor structure
US10490483B2 (en) * 2016-03-07 2019-11-26 Micron Technology, Inc. Low capacitance through substrate via structures
CN106653953B (zh) * 2017-02-27 2018-06-29 常州亿晶光电科技有限公司 一种热分解制备二氧化硅钝化层的方法
CN107154406B (zh) * 2017-05-12 2021-01-26 京东方科技集团股份有限公司 显示基板及其制作方法和显示装置
US11670686B2 (en) 2017-09-26 2023-06-06 Intel Corporation III-N nanostructures formed via cavity fill
US11362172B2 (en) 2017-09-26 2022-06-14 Intel Corporation High aspect ratio non-planar capacitors formed via cavity fill
BR112021007364B1 (pt) 2018-12-07 2024-01-30 Yangtze Memory Technologies Co., Ltd Dispositivo de memória
CN110622305B (zh) 2019-02-18 2021-03-23 长江存储科技有限责任公司 电容器结构及其形成方法
CN113922018A (zh) * 2020-07-09 2022-01-11 大富科技(安徽)股份有限公司 一种高通滤波器及通信设备
US11462453B2 (en) * 2020-07-10 2022-10-04 Nanya Technology Corporation Semiconductor device with protection layers and method for fabricating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001174A1 (en) * 2004-06-30 2006-01-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
CN101483149A (zh) * 2009-02-13 2009-07-15 华中科技大学 一种硅通孔互连结构的制备方法
US20110095435A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Coaxial through-silicon via

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814889A (en) * 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
TW525417B (en) * 2000-08-11 2003-03-21 Ind Tech Res Inst Composite through hole structure
JP3495727B2 (ja) 2001-11-07 2004-02-09 新光電気工業株式会社 半導体パッケージおよびその製造方法
KR100763683B1 (ko) * 2002-09-06 2007-10-04 동부일렉트로닉스 주식회사 금속 절연체 금속 캐패시터 제조 방법
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US8456015B2 (en) * 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7534722B2 (en) * 2005-06-14 2009-05-19 John Trezza Back-to-front via process
US7563714B2 (en) * 2006-01-13 2009-07-21 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
KR100826979B1 (ko) * 2006-09-30 2008-05-02 주식회사 하이닉스반도체 스택 패키지 및 그 제조방법
JP2009124087A (ja) 2007-11-19 2009-06-04 Oki Semiconductor Co Ltd 半導体装置の製造方法
JP2009181981A (ja) * 2008-01-29 2009-08-13 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US8294240B2 (en) * 2009-06-08 2012-10-23 Qualcomm Incorporated Through silicon via with embedded decoupling capacitor
US8264067B2 (en) 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture
US8148824B2 (en) * 2010-04-16 2012-04-03 Nanya Technology Corp. Semiconductor device with through substrate via
US9048112B2 (en) * 2010-06-29 2015-06-02 Qualcomm Incorporated Integrated voltage regulator with embedded passive device(s) for a stacked IC
US8692381B1 (en) * 2011-01-06 2014-04-08 Xilinx, Inc. Integrated circuits with a resistance to single event upset occurrence and methods for providing the same
JP2012146853A (ja) * 2011-01-13 2012-08-02 Elpida Memory Inc 半導体装置の製造方法
US8547769B2 (en) 2011-03-31 2013-10-01 Intel Corporation Energy efficient power distribution for 3D integrated circuit stack
US8519515B2 (en) 2011-04-13 2013-08-27 United Microlectronics Corp. TSV structure and method for forming the same
US9000577B2 (en) 2011-09-30 2015-04-07 Intel Corporation Interlayer communications for 3D integrated circuit stack
US8541883B2 (en) 2011-11-29 2013-09-24 Advanced Semiconductor Engineering, Inc. Semiconductor device having shielded conductive vias
US8981533B2 (en) * 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
US8791550B1 (en) * 2013-01-15 2014-07-29 International Business Machines Corporation Hybrid conductor through-silicon-via for power distribution and signal transmission
US8940631B1 (en) * 2013-03-15 2015-01-27 Maxim Integrated Products, Inc. Methods of forming coaxial feedthroughs for 3D integrated circuits
US9070674B2 (en) * 2013-07-23 2015-06-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Through-silicon coaxial via structure and method
CN105706239A (zh) 2013-12-23 2016-06-22 英特尔公司 穿主体过孔隔离的共轴电容器及其形成技术

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001174A1 (en) * 2004-06-30 2006-01-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
CN101483149A (zh) * 2009-02-13 2009-07-15 华中科技大学 一种硅通孔互连结构的制备方法
US20110095435A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Coaxial through-silicon via

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111788736A (zh) * 2018-04-25 2020-10-16 株式会社村田制作所 毫米波模块以及毫米波模块的制造方法
CN111788736B (zh) * 2018-04-25 2021-12-10 株式会社村田制作所 毫米波模块以及毫米波模块的制造方法

Also Published As

Publication number Publication date
KR20160100923A (ko) 2016-08-24
KR102230011B1 (ko) 2021-03-19
EP3087604A4 (en) 2017-09-06
US9911689B2 (en) 2018-03-06
US20170040255A1 (en) 2017-02-09
TW201539717A (zh) 2015-10-16
TWI662685B (zh) 2019-06-11
WO2015099668A1 (en) 2015-07-02
EP3087604A1 (en) 2016-11-02

Similar Documents

Publication Publication Date Title
CN105706239A (zh) 穿主体过孔隔离的共轴电容器及其形成技术
US9379042B2 (en) Integrated circuit devices having through silicon via structures and methods of manufacturing the same
JP5340923B2 (ja) 高qのウェハ裏面のキャパシタを有する半導体集積回路デバイス(集積回路デバイスおよび集積回路デバイスを形成する方法)
US7875552B2 (en) Methods of forming integrated circuit chips having vertically extended through-substrate vias therein and chips formed thereby
US9666585B2 (en) Method of manufacturing a semiconductor device having bit line structures disposed in trenches
US20100164062A1 (en) Method of manufacturing through-silicon-via and through-silicon-via structure
KR102423254B1 (ko) 커패시터를 포함하는 집적 회로
TW201125099A (en) Through silicon via with embedded decoupling capacitor
US20130200525A1 (en) Via connection structures, semiconductor devices having the same, and methods of fabricating the structures and devices
TW201724364A (zh) 一玻璃基板上之積體電路
US11398545B2 (en) Single-mask, high-q performance metal-insulator-metal capacitor (MIMCAP)
TW201620044A (zh) 有空洞加速的崩潰的金屬氧化物半導體(mos)反熔絲
US20190393147A1 (en) Core fill to reduce dishing and metal pillar fill to increase metal density of interconnects
US20240038661A1 (en) Interconnects having a portion without a liner material and related structures, devices, and methods
US20130082382A1 (en) Semiconductor device
US20200006346A1 (en) Replacement metal cob integration process for embedded dram
US20170011987A1 (en) Through-body via formation techniques
US20160336332A1 (en) Antifuse with backfilled terminals
TWI556399B (zh) 具有導體回填之內嵌式熔絲
US9012324B2 (en) Through silicon via process
US11469295B1 (en) Decoupling capacitor integrated in system on chip (SOC) device
TWI842821B (zh) 具有局部互連體的自對準閘極端帽(sage)架構
US20230298937A1 (en) Semiconductor device including through vias with different widths and method of manufacturing the same
US20220102279A1 (en) Dielectric capacitance recovery of inter-layer dielectric layers for advanced integrated circuit structure fabrication
US20070194410A1 (en) Multi-chip device and method for manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20160622

RJ01 Rejection of invention patent application after publication