CN105470293A - 半导体元件及其制作方法 - Google Patents

半导体元件及其制作方法 Download PDF

Info

Publication number
CN105470293A
CN105470293A CN201410430805.XA CN201410430805A CN105470293A CN 105470293 A CN105470293 A CN 105470293A CN 201410430805 A CN201410430805 A CN 201410430805A CN 105470293 A CN105470293 A CN 105470293A
Authority
CN
China
Prior art keywords
patterning
hard mask
metal level
dielectric layer
interlayer dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410430805.XA
Other languages
English (en)
Other versions
CN105470293B (zh
Inventor
林静龄
黄志森
洪庆文
吴家荣
张宗宏
李怡慧
陈意维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN201410430805.XA priority Critical patent/CN105470293B/zh
Priority to US14/494,607 priority patent/US9466521B2/en
Publication of CN105470293A publication Critical patent/CN105470293A/zh
Priority to US15/257,921 priority patent/US9899322B2/en
Priority to US15/863,986 priority patent/US9984974B1/en
Application granted granted Critical
Publication of CN105470293B publication Critical patent/CN105470293B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53261Refractory-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Abstract

本发明公开一种半导体元件及其制作方法,该半导体元件包含一基底、一第一金属栅极设于基底上、一第一硬掩模设于第一金属栅极上、一层间介电层设于第一金属栅极上并环绕该第一金属栅极以及一图案化的金属层镶嵌于层间介电层中,其中图案化的金属层的上表面低于第一硬掩模的上表面。

Description

半导体元件及其制作方法
技术领域
本发明涉及一种半导体元件,尤其是涉及一种于层间介电层中镶嵌高阻值金属层的半导体元件。
背景技术
近年来,随着场效晶体管(fieldeffecttransistors,FETs)元件尺寸持续地缩小,现有平面式(planar)场效晶体管元件的发展已面临制作工艺上的极限。为了克服制作工艺限制,以非平面(non-planar)的场效晶体管元件,例如鳍状场效晶体管(finfieldeffecttransistor,FinFET)元件来取代平面晶体管元件已成为目前的主流发展趋势。由于鳍状场效晶体管元件的立体结构可增加栅极与鳍状结构的接触面积,因此,可进一步增加栅极对于载流子通道区域的控制,从而降低小尺寸元件面临的漏极引发能带降低(draininducedbarrierlowering,DIBL)效应,并可以抑制短通道效应(shortchanneleffect,SCE)。再者,由于鳍状场效晶体管元件在同样的栅极长度下会具有更宽的通道宽度,因而可获得加倍的漏极驱动电流。甚而,晶体管元件的临界电压(thresholdvoltage)也可通过调整栅极的功函数而加以调控。
然而,在现有的鳍状场效晶体管元件制作工艺中,结合金属栅极与薄膜电阻的制作仍遇到一些瓶颈,例如形成接触插塞时常因薄膜电阻所设置的位置不佳而直接贯穿薄膜电阻,影响电阻的整体电性表现。因此如何改良现有鳍状场效晶体管制作工艺与架构即为现今一重要课题。
发明内容
为解决上述问题,本发明优选实施例是公开一种制作半导体元件的方法。首先提供一基底,该基底包含一第一金属栅极与一第二金属栅极设于其上,一第一硬掩模设于第一金属栅极上与一第二硬掩模设于第二金属栅极上,以及一第一层间介电层环绕第一金属栅极与第二金属栅极。接着利用第一硬掩模及第二硬掩模去除部分第一层间介电层以形成一凹槽,然后再形成一图案化的金属层于凹槽内,其中图案化的金属层的上表面低于第一硬掩模及第二硬掩模的上表面。
本发明另一实施例是公开一种半导体元件,包含一基底、一第一金属栅极设于基底上、一第一硬掩模设于第一金属栅极上、一层间介电层设于第一金属栅极上并环绕该第一金属栅极以及一图案化的金属层镶嵌于层间介电层中,其中图案化的金属层的上表面低于第一硬掩模的上表面。
附图说明
图1至图6为本发明优选实施例制作一半导体元件的方法示意图;
图7为本发明另一实施例的一半导体元件的结构示意图。
主要元件符号说明
12基底14鳍状结构
16绝缘层18金属栅极
20金属栅极22金属栅极
24间隙壁26源极/漏极区域
28外延层30接触洞蚀刻停止层
32层间介电层34功函数金属层
36低阻抗金属层38硬掩模
40凹槽42高阻值金属层
44介电堆叠层46氮化硅层
48二氧化硅层50层间介电层
52接触插塞54接触插塞
56接触插塞58氧化层
具体实施方式
请参照图1至图6,图1至图6为本发明优选实施例制作一半导体元件的方法示意图,其可实施于平面型或非平面型晶体管元件制作工艺,现以应用于非平面型晶体管元件制作工艺为例。如图1所示,首先提供一基底12,例如一硅基底或硅覆绝缘(SOI)基板,其上定义有一晶体管区,例如一PMOS晶体管区或一NMOS晶体管区。基底12上具有至少一鳍状结构14及一绝缘层16,其中鳍状结构14的底部被绝缘层16,例如氧化硅所包覆而形成浅沟隔离,且部分的鳍状结构14上还分别设有多个金属栅极18、20、22。
鳍状结构14的形成方式可以包含先形成一图案化掩模(图未示)于基底12上,再经过一蚀刻制作工艺,将图案化掩模的图案转移至基底12中。接着,对应三栅极晶体管元件及双栅极鳍状晶体管元件结构特性的不同,而可选择性去除或留下图案化掩模,并利用沉积、化学机械研磨(chemicalmechanicalpolishing,CMP)及回蚀刻制作工艺而形成一环绕鳍状结构14底部的绝缘层16。除此之外,鳍状结构14的形成方式另也可以是先制作一图案化硬掩模层(图未示)于基底12上,并利用外延制作工艺于暴露出于图案化硬掩模层的基底12上成长出半导体层,此半导体层即可作为相对应的鳍状结构14。同样的,还可以选择性去除或留下图案化硬掩模层,并通过沉积、CMP及回蚀刻制作工艺形成一绝缘层16以包覆住鳍状结构14的底部。另外,当基底12为硅覆绝缘(SOI)基板时,则可利用图案化掩模来蚀刻基底上的一半导体层,并停止于此半导体层下方的一底氧化层以形成鳍状结构,故可省略前述制作绝缘层16的步骤。
金属栅极18、20、22的制作方式可依据制作工艺需求以先栅极(gatefirst)制作工艺、后栅极(gatelast)制作工艺的先栅极介电层(high-kfirst)制作工艺以及后栅极制作工艺的后栅极介电层(high-klast)制作工艺等方式制作完成。以本实施例的先栅极介电层制作工艺为例,可先于鳍状结构14与绝缘层16上形成一优选包含高介电常数介电层与多晶硅材料所构成的虚置栅极(图未示),然后于虚置栅极侧壁形成间隙壁24。接着于间隙壁24两侧的鳍状结构14以及/或基底12中形成一源极/漏极区域26与外延层28、形成一接触洞蚀刻停止层30覆盖虚置栅极,并形成一由四乙氧基硅烷(Tetraethylorthosilicate,TEOS)所组成的层间介电层32于接触洞蚀刻停止层30上。
之后可进行一金属栅极置换(replacementmetalgate)制作工艺,先平坦化部分的层间介电层32及接触洞蚀刻停止层30,并再将虚置栅极转换为一金属栅极。金属栅极置换制作工艺可包括先进行一选择性的干蚀刻或湿蚀刻制作工艺,例如利用氨水(ammoniumhydroxide,NH4OH)或氢氧化四甲铵(TetramethylammoniumHydroxide,TMAH)等蚀刻溶液来去除虚置栅极中的多晶硅材料以于层间介电层32中形成一凹槽。之后形成一至少包含U型功函数金属层34与低阻抗金属层36的导电层于该凹槽内,并再搭配进行一平坦化制作工艺使U型功函数金属层34与低阻抗金属层36的表面与层间介电层32表面齐平。
在本实施例中,功函数金属层34优选用以调整形成金属栅极的功函数,使其适用于N型晶体管(NMOS)或P型晶体管(PMOS)。若晶体管为N型晶体管,功函数金属层34可选用功函数为3.9电子伏特(eV)~4.3eV的金属材料,如铝化钛(TiAl)、铝化锆(ZrAl)、铝化钨(WAl)、铝化钽(TaAl)、铝化铪(HfAl)或TiAlC(碳化钛铝)等,但不以此为限;若晶体管为P型晶体管,功函数金属层34可选用功函数为4.8eV~5.2eV的金属材料,如氮化钛(TiN)、氮化钽(TaN)或碳化钽(TaC)等,但不以此为限。功函数金属层34与低阻抗金属层36之间可包含另一阻障层(图未示),其中阻障层的材料可包含钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaN)等材料。低阻抗金属层44则可选自铜(Cu)、铝(Al)、钨(W)、钛铝合金(TiAl)、钴钨磷化物(cobalttungstenphosphide,CoWP)等低电阻材料或其组合。由于依据金属栅极置换制作工艺将虚置栅极转换为金属栅极是此领域者所熟知技术,在此不另加赘述。
随后可先去除层间介电层32上部分的功函数金属层34与低阻抗金属层36形成金属栅极18、20、22,然后回蚀刻凹槽内部分的金属栅极18、20、22,再填入一硬掩模38于金属栅极18、20、22上并平坦化之。其中硬掩模38可为单一材料层或复合材料层,例如一包含氧化硅与氮化硅的复合层,且硬掩模38的上表面优选与层间介电层32的上表面齐平。
接着如图2所示,先利用层间介电层32的蚀刻选择比与硬掩模38、间隙壁24、接触洞蚀刻停止层30都不同的特性,去除金属栅极18、20、22间的部分层间介电层32以形成一凹槽40,然后可选择性沉积一氧化层58于硬掩模38及层间介电层32上,用来当作一蚀刻停止层,再依序形成一高阻值金属层42与一介电堆叠层44于氧化层58上。依据本发明的优选实施例,高阻值金属层42包含氮化钛,介电堆叠层44用来当作一掩模层,其可包含一氮化硅层46及一二氧化硅层48,但不局限于此。另外在本实施例中,凹槽40的深度虽低于硬掩模38的上表面(原层间介电层32表面)且略高于硬掩模38的底部,但并不局限于此,本发明可依据制作工艺需求调整凹槽40的深度,例如使其深度低于硬掩模38的上表面(原层间介电层32表面)且又同时齐平或低于硬掩模38底部,此变化型也属本发明所涵盖的范围。
如图3所示,然后图案化介电堆叠层44与高阻值金属层42以形成一图案化的介电堆叠层44与一图案化的高阻值金属层42于凹槽40内。依据本发明的一实施例,图案化介电堆叠层44与高阻值金属层42的步骤可先形成一图案化光致抗蚀剂层(图未示)于介电堆叠层44上,然后以该图案化光致抗蚀剂层为掩模进行一干蚀刻制作工艺去除部分介电堆叠层44以形成图案化的介电堆叠层44于凹槽40内的层间介电层32上。接着如图4所示,可选择性去除该图案化光致抗蚀剂层以图案化的介电堆叠层44为蚀刻掩模,或再以该图案化光致抗蚀剂层进行一湿蚀刻制作工艺去除部分高阻值金属层42形成图案化的高阻值金属层42,使图案化的介电堆叠层44与图案化的高阻值金属层42同时设于凹槽40内的层间介电层32上,且图案化的介电堆叠层44与图案化的高阻值金属层42的上表面均低于硬掩模38的上表面。
随后如图5所示,形成另一层间介电层50于氧化层58、硬掩模38、层间介电层32、图案化的介电堆叠层44及图案化的高阻值金属层42上。在本实施例中,层间介电层50的材料优选采用与层间介电层32相同的材料,例如均由四乙氧基硅烷(TEOS)所组成。
接着如图6所示,先形成一接触插塞52于层间介电层50与层间介电层32中以电连接邻近于金属栅极18的源极/漏极区域26与外延层28,然后再形成接触插塞54于层间介电层50中以电连接图案化的高阻值金属层42以及接触插塞56于层间介电层50中以电连接金属栅极22。形成接触插塞52、54、56的方法可先于层间介电层32、50中形成多个接触洞(图未示),之后可选择性于接触洞底部曝露的源极/漏极区域26与外延层28表面形成金属硅化物,然后依序形成一阻障/黏着层(图未示)、一晶种层(图未示)以及一导电层(图未示)覆盖并填入接触洞,其中阻障/黏着层共形地(conformally)填入接触洞中而导电层则完全填满接触洞。阻障/黏着层的材料例如是钽(Ta)、钛(Ti)、氮化钛(TiN)、钽化钛(TaN)、氮化钨(WN)或是其任意组合例如钛/氮化钛所构成,但并不以此为限。晶种层的材料优选与导电层的材料相同,导电层的材料包含各种低电阻金属材料,例如是铝(Al)、钛(Ti)、钽(Ta)、钨(W)、铌(Nb)、钼(Mo)、铜(Cu)等材料,优选是钨或铜,最佳是钨。最后进行一平坦化制作工艺例如化学机械研磨(CMP)制作工艺、蚀刻制作工艺或是两者的结合,去除部分阻障/黏着层、晶种层与导电层,使剩余的导电层上表面与层间介电层上表面齐平以形成接触插塞52、54、56,至此完成本发明优选实施例的半导体元件的制作。
值得注意的是,在层间介电层32、50中形成多个接触洞(图未示)以形成接触插塞52、54、56的方法可以整合双重曝光(DoublePatterning)等技术实施之。亦即,电连接源极/漏极区域26的接触插塞52、电连接图案化的高阻值金属层42的接触插塞54、电连接金属栅极22的接触插塞56,此三种接触插塞可依蚀刻深度、图案密度、深宽比(aspectratio)等制作工艺条件相互搭配实施。例如,以考虑相同的蚀刻深度为例,调整凹槽40的深度,使其深度齐平或略低于硬掩模38底部,即可同时于层间介电层50中蚀刻出接触插塞54、56的接触洞(图未示),而不蚀穿高阻值金属层42;反之,调整凹槽40的深度,使其深度远低于硬掩模38底部或略齐平于外延层28,即可同时蚀刻出接触插塞52、54的接触洞(图未示)。
如图6所示,本发明还公开一种半导体元件结构,其主要包含一基底12、多个金属栅极18、20、22设于基底上12、多个硬掩模38分别设于金属栅极18、20、22上、一层间介电层包括层间介电层32及层间介电层50设于金属栅极18、20、22上并环绕各金属栅极18、20、22以及一图案化的高阻值金属层42镶嵌于层间介电层32、50中。图案化的高阻值金属层42上另设有一图案化的介电堆叠层44,其中图案化的介电堆叠层44包含氮化硅及二氧化硅,图案化的高阻值金属层42包含氮化钛,但不局限于此。在本实施例中,图案化的高阻值金属层42的上表面优选低于该各硬掩模38的上表面,且设于其上的图案化的介电堆叠层44的上表面也同样低于各硬掩模38的上表面。但不局限于此深度,高阻值金属层42与介电堆叠层44所设置的位置又可依据前述制作工艺中形成凹槽40时调整,例如可使两者的上表面均低于硬掩模38的下表面,此变化型也属本发明所涵盖的范围。
此外,半导体元件结构又包含多个接触插塞52、54、56分别电连接金属栅极与高阻值金属层42,其中接触插塞52设于层间介电层32、50中并电连接邻近于金属栅极18的源极/漏极区域26,接触插塞54设于层间介电层32、50中并电连接图案化的高阻值金属层42以及接触插塞56设于层间介电层50中并电连接金属栅极22。另外本实施例虽于图案化的高阻值金属层42正下方设置一如绝缘层16所构成的浅沟隔离,但绝缘层16所设置的位置并不局限于此,又可视制成需求调整绝缘层16的位置,例如不于图案化的高阻值金属层42正下方设置任何绝缘层16,此变化型也属本发明所涵盖的范围。
然而,不局限于上述图3-图4的实施例,依据本发明的另一实施例,如图7所示,图案化介电堆叠层44与高阻值金属层42的步骤又可同样先形成一宽度略大于上述实施例的图案化光致抗蚀剂层(图未示)于介电堆叠层44上,然后以该图案化光致抗蚀剂层为掩模进行一干蚀刻制作工艺去除部分介电堆叠层44以形成图案化的介电堆叠层44于凹槽40内的层间介电层32以及至少一侧的接触洞蚀刻停止层30、间隙壁24或部分的硬掩模38上。接着可选择性去除该图案化光致抗蚀剂层或再次以该图案化光致抗蚀剂层为掩模进行一湿蚀刻制作工艺去除部分高阻值金属层42,使图案化的介电堆叠层44与图案化的高阻值金属层42同时设于层间介电层32及两侧的接触洞蚀刻停止层30、间隙壁24或部分硬掩模38上。之后可比照图5-图6的制作工艺形成另一层间介电层50于氧化层58、硬掩模38、层间介电层32、图案化的介电堆叠层44及图案化的高阻值金属层42上,然后形成多个接触插塞52、54、56分别连接源极/漏极区域26与外延层28、图案化的高阻值金属层42及金属栅极22。以结构来看,图案化的高阻值金属层42优选镶嵌于层间介电层32、50中,且图案化的高阻值金属层42包含一阶梯部设于接触洞蚀刻停止层30、间隙壁24或部分的硬掩模38上。
综上所述,本发明优选于金属栅极上形成硬掩模后先利用硬掩模为蚀刻掩模去除部分的层间介电层,以于金属栅极之间形成一凹槽,然后于凹槽中形成一由高阻值金属层所构成的薄膜电阻。由于一部分的层间介电层已先被去除,因此所形成的高阻值金属层将低于旁边的硬掩模,例如其上表面将优选低于硬掩模的上表面,而此高低差便可避免后续制作接触插塞时使接触插塞直接贯穿高阻值金属层而影响到薄膜电阻的电性表现。
以上所述仅为本发明的优选实施例,凡依本发明权利要求所做的均等变化与修饰,都应属本发明的涵盖范围。

Claims (20)

1.一种制作半导体元件的方法,包含:
提供一基底,该基底包含第一金属栅极与第二金属栅极设于其上,一第一硬掩模设于该第一金属栅极上与一第二硬掩模设于该第二金属栅极上,以及一第一层间介电层环绕该第一金属栅极与该第二金属栅极;
利用该第一硬掩模及该第二硬掩模去除部分该第一层间介电层以形成一凹槽;以及
形成一图案化的金属层于该凹槽内,其中该图案化的金属层的上表面低于该第一硬掩模及该第二硬掩模的上表面。
2.如权利要求1所述的方法,还包含:
形成一金属层于该第一硬掩模、该第二硬掩模以及该第一层间介电层上;
形成一介电堆叠层于该金属层上;
图案化该介电堆叠层与该金属层以形成一图案化的介电堆叠层及该图案化的金属层于该凹槽内;
形成一第二层间介电层于该第一硬掩模、该第二硬掩模、该第一层间介电层、该图案化的介电堆叠层及该图案化的金属层上;
形成一第一接触插塞于该第二层间介电层与该第一层间介电层中以电连接邻近于该第一金属栅极的一源极/漏极区域;
形成一第二接触插塞于该第二层间介电层中以电连接该图案化的金属层以及形成一第三接触插塞于该第二层间介电层中以电连接该第二金属栅极。
3.如权利要求2所述的方法,其中图案化该介电堆叠层与该金属层的步骤还包含:
进行一第一蚀刻制作工艺去除部分该介电堆叠层以形成该图案化的介电堆叠层于该凹槽内及该第一层间介电层上;以及
进行一第二蚀刻制作工艺去除部分该金属层。
4.如权利要求2所述的方法,其中图案化该介电堆叠层与该金属层的步骤还包含:
进行一第一蚀刻制作工艺去除部分该介电堆叠层以形成该图案化的介电堆叠层于该第一层间介电层、该第一硬掩模及该第二硬掩模上;以及
进行一第二蚀刻制作工艺去除部分该金属层。
5.如权利要求2所述的方法,其中该介电堆叠层包含氮化硅及二氧化硅。
6.如权利要求1所述的方法,其中该图案化的金属层包含氮化钛。
7.一种半导体元件,包含:
基底;
第一金属栅极,设于该基底上;
第一硬掩模,设于该第一金属栅极上;
层间介电层,设于该第一金属栅极上并环绕该第一金属栅极;以及
图案化的金属层,镶嵌于该层间介电层中,其中该图案化的金属层的上表面低于该第一硬掩模的上表面。
8.如权利要求7所述的半导体元件,还包含图案化的介电堆叠层,设于该图案化的金属层上。
9.如权利要求8所述的半导体元件,其中该图案化的介电堆叠层包含氮化硅及二氧化硅。
10.如权利要求8所述的半导体元件,其中该图案化的介电堆叠层的上表面低于该第一硬掩模的上表面。
11.如权利要求7所述的半导体元件,其中该图案化的金属层包含氮化钛。
12.如权利要求7所述的半导体元件,还包含第一接触插塞,设于该层间介电层中并电连接邻近该第一金属栅极的一源极/漏极区域。
13.如权利要求7所述的半导体元件,还包含第二接触插塞,设于该层间介电层中并电连接该图案化的金属层。
14.如权利要求7所述的半导体元件,还包含:
第二金属栅极,设于该基底上并邻近该第一金属栅极;以及
第二硬掩模,设于该第二金属栅极上。
15.如权利要求14所述的半导体元件,还包含第三接触插塞,设于该层间介电层中并电连接该第二金属栅极。
16.如权利要求7所述的半导体元件,还包含浅沟隔离,设于该基底中以及该图案化的金属层正下方。
17.一种半导体元件,包含:
基底;
金属栅极,设于该基底上;
硬掩模,设于该金属栅极上;
层间介电层,环绕该金属栅极;以及
图案化的金属层,镶嵌于该层间介电层中,其中该图案化的金属层包含阶梯部。
18.如权利要求17所述的半导体元件,还包含图案化的介电堆叠层,设于该图案化的金属层上,且该图案化的介电堆叠层包含氮化硅及二氧化硅。
19.如权利要求17所述的半导体元件,其中该阶梯部设于部分该硬掩模上。
20.如权利要求17所述的半导体元件,其中该图案化的金属层包含氮化钛。
CN201410430805.XA 2014-08-28 2014-08-28 半导体元件及其制作方法 Active CN105470293B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201410430805.XA CN105470293B (zh) 2014-08-28 2014-08-28 半导体元件及其制作方法
US14/494,607 US9466521B2 (en) 2014-08-28 2014-09-24 Semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer
US15/257,921 US9899322B2 (en) 2014-08-28 2016-09-07 Method for fabricating semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer
US15/863,986 US9984974B1 (en) 2014-08-28 2018-01-08 Method for fabricating semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410430805.XA CN105470293B (zh) 2014-08-28 2014-08-28 半导体元件及其制作方法

Publications (2)

Publication Number Publication Date
CN105470293A true CN105470293A (zh) 2016-04-06
CN105470293B CN105470293B (zh) 2020-06-02

Family

ID=55403366

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410430805.XA Active CN105470293B (zh) 2014-08-28 2014-08-28 半导体元件及其制作方法

Country Status (2)

Country Link
US (3) US9466521B2 (zh)
CN (1) CN105470293B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107689394A (zh) * 2016-08-03 2018-02-13 联华电子股份有限公司 半导体结构及其制作方法
US20220077297A1 (en) * 2020-09-09 2022-03-10 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10050030B2 (en) * 2015-09-04 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating method thereof
TWI675406B (zh) * 2015-10-07 2019-10-21 聯華電子股份有限公司 半導體元件及其製作方法
US10090249B2 (en) 2015-12-17 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
CN107546119B (zh) * 2016-06-24 2022-10-21 联华电子股份有限公司 半导体元件及其制作方法
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
CN109216468B (zh) * 2017-06-29 2021-08-13 中芯国际集成电路制造(上海)有限公司 电阻器件及其制造方法
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884715B1 (en) * 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US20070134882A1 (en) * 2005-12-09 2007-06-14 Mosel Vitelic Inc. Method for fabicating trench metal-oxide-semiconductor field effect transistor
KR20090016856A (ko) * 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR20100074677A (ko) * 2008-12-24 2010-07-02 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
CN201725795U (zh) * 2010-05-18 2011-01-26 苏州硅能半导体科技股份有限公司 三层光罩沟槽mos器件
US20110298061A1 (en) * 2010-06-08 2011-12-08 International Business Machines Corporation Structure and method for replacement gate mosfet with self-aligned contact using sacrificial mandrel dielectric
US20120108057A1 (en) * 2010-10-28 2012-05-03 Sun-Hwan Hwang Method for fabricating semiconductor device with buried gates
CN103021947A (zh) * 2011-09-28 2013-04-03 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US20130087861A1 (en) * 2011-10-06 2013-04-11 United Microelectronics Corporation Semiconductor device and fabrication method thereof
CN103811322A (zh) * 2012-11-13 2014-05-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069398A (en) 1997-08-01 2000-05-30 Advanced Micro Devices, Inc. Thin film resistor and fabrication method thereof
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP3828419B2 (ja) * 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
KR100672939B1 (ko) 2004-07-29 2007-01-24 삼성전자주식회사 저항 소자를 구비하는 반도체 소자 및 그 형성 방법
US20100078727A1 (en) 2008-10-01 2010-04-01 Min Byoung W eFuse and Resistor Structures and Method for Forming Same in Active Region
US8361848B2 (en) * 2010-04-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Precise resistor on a semiconductor device
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) * 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8981527B2 (en) * 2011-08-23 2015-03-17 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921946B2 (en) 2011-11-11 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit resistor
US8896066B2 (en) * 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
US8859386B2 (en) 2012-06-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and methods of forming resistors
US8921947B1 (en) * 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
JP2015053337A (ja) * 2013-09-05 2015-03-19 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
TW201532247A (zh) * 2013-10-16 2015-08-16 Conversant Intellectual Property Man Inc 形成嵌入動態隨機存取記憶體電容器的成本效益佳的方法
US9059164B2 (en) * 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US9773696B2 (en) * 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20150243663A1 (en) * 2014-02-24 2015-08-27 United Microelectronics Corp. Method for manufacturing semiconductor device and device manufactured using the same
US20150340326A1 (en) * 2014-05-20 2015-11-26 Texas Instruments Incorporated Shunt of p gate to n gate boundary resistance for metal gate technologies
US9455202B2 (en) * 2014-05-29 2016-09-27 United Microelectronics Corp. Mask set and method for fabricating semiconductor device by using the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884715B1 (en) * 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US20070134882A1 (en) * 2005-12-09 2007-06-14 Mosel Vitelic Inc. Method for fabicating trench metal-oxide-semiconductor field effect transistor
KR20090016856A (ko) * 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR20100074677A (ko) * 2008-12-24 2010-07-02 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
CN201725795U (zh) * 2010-05-18 2011-01-26 苏州硅能半导体科技股份有限公司 三层光罩沟槽mos器件
US20110298061A1 (en) * 2010-06-08 2011-12-08 International Business Machines Corporation Structure and method for replacement gate mosfet with self-aligned contact using sacrificial mandrel dielectric
US20120108057A1 (en) * 2010-10-28 2012-05-03 Sun-Hwan Hwang Method for fabricating semiconductor device with buried gates
CN103021947A (zh) * 2011-09-28 2013-04-03 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US20130087861A1 (en) * 2011-10-06 2013-04-11 United Microelectronics Corporation Semiconductor device and fabrication method thereof
CN103811322A (zh) * 2012-11-13 2014-05-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107689394A (zh) * 2016-08-03 2018-02-13 联华电子股份有限公司 半导体结构及其制作方法
US20220077297A1 (en) * 2020-09-09 2022-03-10 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof
US11742406B2 (en) * 2020-09-09 2023-08-29 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof

Also Published As

Publication number Publication date
US20160064327A1 (en) 2016-03-03
US20180130742A1 (en) 2018-05-10
US9466521B2 (en) 2016-10-11
CN105470293B (zh) 2020-06-02
US20160379931A1 (en) 2016-12-29
US9899322B2 (en) 2018-02-20
US9984974B1 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
CN105575885A (zh) 半导体元件及其制作方法
CN105470293A (zh) 半导体元件及其制作方法
US9595475B2 (en) Multi-stage fin formation methods and structures thereof
CN106206270B (zh) 半导体器件及其制作方法
TWI650804B (zh) 半導體元件及其製作方法
TW202006947A (zh) 製造半導體元件之方法以及半導體元件
US10847513B2 (en) Buried interconnect conductor
CN105489490B (zh) 半导体元件及其制作方法
US9985135B2 (en) Replacement low-k spacer
US11121036B2 (en) Multi-gate device and related methods
US11031292B2 (en) Multi-gate device and related methods
CN109216191A (zh) 半导体元件及其制作方法
KR20220054777A (ko) 멀티 게이트 디바이스 및 관련 방법
CN106409764B (zh) 制作半导体元件的方法
CN106206714B (zh) 半导体器件
TW201608641A (zh) 半導體元件及其製作方法
TWI649808B (zh) 半導體元件及其製作方法
US20230065318A1 (en) Self-aligning backside contact process and devices thereof
TW202320145A (zh) 半導體裝置及其製造方法
TW202333304A (zh) 半導體結構及其製造方法
CN110957299A (zh) 半导体结构及其形成方法
TW201443981A (zh) 取代閘極製程及應用其製得之元件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant