CN105304698B - 正冲击scr、负冲击scr以及利用它们的双向esd结构 - Google Patents

正冲击scr、负冲击scr以及利用它们的双向esd结构 Download PDF

Info

Publication number
CN105304698B
CN105304698B CN201510337138.5A CN201510337138A CN105304698B CN 105304698 B CN105304698 B CN 105304698B CN 201510337138 A CN201510337138 A CN 201510337138A CN 105304698 B CN105304698 B CN 105304698B
Authority
CN
China
Prior art keywords
region
type
shallow
well
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510337138.5A
Other languages
English (en)
Other versions
CN105304698A (zh
Inventor
亨利·利茨曼·爱德华兹
阿克拉姆·A.·萨勒曼
Md·伊克巴勒·马哈茂德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN105304698A publication Critical patent/CN105304698A/zh
Application granted granted Critical
Publication of CN105304698B publication Critical patent/CN105304698B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0259Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using bipolar transistors as protective elements
    • H01L27/0262Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using bipolar transistors as protective elements including a PNP transistor and a NPN transistor, wherein each of said transistors has its base coupled to the collector of the other transistor, e.g. silicon controlled rectifier [SCR] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/74Thyristor-type devices, e.g. having four-zone regenerative action
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66363Thyristors
    • H01L29/66386Bidirectional thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/74Thyristor-type devices, e.g. having four-zone regenerative action
    • H01L29/747Bidirectional devices, e.g. triacs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/74Thyristor-type devices, e.g. having four-zone regenerative action
    • H01L29/7436Lateral thyristors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本申请案涉及正冲击SCR、负冲击SCR以及利用它们的双向ESD结构。一种静电放电ESD结构(596)具有:n+源极区域(574‑1),其具有邻接p+触点区域(580‑1);间隔开的p+触点区域(580‑2),其具有邻接n+源极区域(574‑2);及浅沟槽隔离区域(536),其以提供双向保护的重复模式横向位于p+触点区域(580‑1)与n+源极区域(574‑2)之间。

Description

正冲击SCR、负冲击SCR以及利用它们的双向ESD结构
技术领域
本发明涉及一种可控硅整流器(SCR)及静电放电(ESD)结构,且更特定来说涉及一种正冲击SCR、负冲击SCR及利用正冲击SCR及负冲击SCR两者的双向ESD结构。
背景技术
可控硅整流器(SCR)为在跨越第一节点及第二节点的电压为正且小于触发电压时在所述第一与第二节点之间提供断开电路的装置。当跨越第一及第二节点的电压上升到等于或大于触发电压时,SCR在第一与第二节点之间提供低电阻电流路径。此外,一旦已提供低电阻电流路径,只要跨越第一及第二节点的电压大于低于触发电压的保持电压,SCR便维持所述电流路径。
由于这些特性,已使用SCR来提供静电放电(ESD)保护。举例来说,ESD SCR的第一节点可连接到欲保护节点,而ESD SCR的第二节点可连接到接地节点。ESD SCR在ESD保护窗内操作,所述保护窗具有小于欲保护节点的破坏性击穿电平的最大电压及大于保持电压的最小电压。接着,将ESD SCR的触发电压设置为等于或小于所述窗的最大电压的值。
因此,当跨越欲保护节点与接地节点的电压小于触发电压时,ESD SCR在欲保护节点与接地节点之间提供断开电路。然而,当欲保护节点接收到等于或超过触发电压的电压尖峰时(例如当发生未接地人体接触时),ESD SCR提供从欲保护节点到接地节点的低电阻电流路径。另外,一旦ESD事件已过去且穿过ESD SCR的电流下降到低于ESD SCR的保持电流,ESD SCR便再次在欲保护节点与接地节点之间提供断开电路。
发明内容
本发明提供一种具有高量值击穿电压的可控硅整流器(SCR)。本发明的SCR包含第一阱及第二阱。所述第一阱具有第一导电性类型及掺杂剂浓度,所述第二阱具有所述第一导电性类型及实质上等于所述第一阱的所述掺杂剂浓度的掺杂剂浓度。所述第一与第二阱是间隔开的。所述SCR还包含具有第二导电性类型及掺杂剂浓度的深区域。所述深区域接触所述第一及第二阱且位于所述第一与第二阱之间。所述SCR进一步包含具有所述第一导电性类型及大于所述第一阱的所述掺杂剂浓度的掺杂剂浓度的第一触点区域。所述第一触点区域接触所述第一阱。另外,所述SCR包含第一源极区域及第二源极区域。所述第一源极区域具有所述第二导电性类型及大于所述深区域的所述掺杂剂浓度的掺杂剂浓度。所述第一源极区域接触所述第一触点区域。所述第二源极区域具有所述第二导电性类型及实质上等于所述第一源极区域的所述掺杂剂浓度的掺杂剂浓度。所述第二源极区域接触所述第二阱。此外,所述SCR包含具有所述第一导电性类型及实质上等于所述第一触点区域的所述掺杂剂浓度的掺杂剂浓度的第二触点区域。所述第二触点区域接触所述第二源极区域。所述第一触点区域水平地位于所述第一与第二源极区域之间。所述第二源极区域水平地位于所述第一与第二触点区域之间。
本发明还提供一种提供双向保护的静电放电(ESD)结构。所述ESD结构包含第一阱及第二阱。所述第一阱具有第一导电性类型及掺杂剂浓度,所述第二阱具有所述第一导电性类型及实质上等于所述第一阱的所述掺杂剂浓度的掺杂剂浓度。所述第一与第二阱是间隔开的。所述ESD结构还包含具有第二导电性类型及掺杂剂浓度的深区域。所述深区域接触所述第一及第二阱且位于所述第一与第二阱之间。所述ESD结构进一步包含接触所述第一阱的正冲击结构。所述正冲击结构包含第一触点区域及第一源极区域。所述第一触点区域具有所述第一导电性类型及大于所述第一阱的所述掺杂剂浓度的掺杂剂浓度。所述第一触点区域接触所述第一阱。所述第一源极区域具有所述第二导电性类型及大于所述深区域的所述掺杂剂浓度的掺杂剂浓度。所述第一源极区域接触所述第一触点区域。另外,所述ESD结构包含接触所述第二阱的第一共用结构。所述第一共用结构包含第二源极区域及第二触点区域。所述第二源极区域具有所述第二导电性类型及实质上等于所述第一源极区域的所述掺杂剂浓度的掺杂剂浓度。所述第二源极区域接触所述第二阱。所述第二触点区域具有所述第一导电性类型及实质上等于所述第一触点区域的所述掺杂剂浓度的掺杂剂浓度。所述第二触点区域接触所述第二源极区域。所述第一触点区域水平地位于所述第一与第二源极区域之间。所述第二源极区域水平地位于所述第一与第二触点区域之间。此外,所述ESD结构包含接触所述第一阱的负冲击结构。所述负冲击结构包含第三源极区域及第三触点区域。所述第三源极区域具有所述第二导电性类型及实质上等于所述第一源极区域的所述掺杂剂浓度的掺杂剂浓度。所述第三源极区域接触所述第一阱且与所述第一及第二源极区域间隔开。所述第三触点区域具有所述第一导电性类型及实质上等于所述第一触点区域的所述掺杂剂浓度的掺杂剂浓度。所述第三触点区域接触所述第三源极区域且与所述第一及第二触点区域间隔开。
本发明还提供一种形成提供双向保护的静电放电(ESD)结构的方法。所述方法包含在半导体材料中形成第一及第二阱。所述第一与第二阱各自具有第一导电性类型及掺杂剂浓度。所述第一与第二阱各自接触深区域,所述深区域具有第二导电性类型并且接触所述第一及第二阱且位于所述第一与第二阱之间。所述方法还包含在所述半导体材料中形成第一及第二源极区域。所述第一及第二源极区域各自具有所述第二导电性类型及大于所述深区域的掺杂剂浓度的掺杂剂浓度。所述第一及第二源极区域位于彼此间隔开之处。所述第二源极区域接触所述第二阱。另外,所述方法包含在所述半导体材料中形成第一及第二触点区域。所述第一及第二触点区域各自具有所述第一导电性类型及大于所述第一阱的所述掺杂剂浓度的掺杂剂浓度。所述第一及第二触点区域位于彼此间隔开之处。所述第一触点区域接触所述第一阱及所述第一源极区域。所述第二触点区域接触所述第二源极区域。所述第一触点区域水平地位于所述第一与第二源极区域之间。所述第二源极区域水平地位于所述第一与第二触点区域之间。
将参考陈述其中利用本发明的原理的说明性实施例的以下详细描述及所附图式获得对本发明的特征及优点的更好的理解。
附图说明
图1是图解说明根据本发明的正冲击可控硅整流器(SCR)100的实例的横截面图。
图2是图解说明根据本发明的替代实施例的正冲击可控硅整流器(SCR)200的实例的横截面图。
图3是图解说明根据本发明的替代实施例的负冲击可控硅整流器(SCR)300的实例的横截面图。
图4是图解说明根据本发明的替代实施例的负冲击可控硅整流器(SCR)400的实例的横截面图。
图5A-5C到图17A-17C是图解说明根据本发明的制作双向静电放电(ESD)装置的方法500的一系列图式。图5A-17A是平面图。图5B-17B分别是沿着图5A-17A中的线5B-5B到17B-17B截取的横截面图。图5C-17C分别是沿着图5A-17A中的线5C-5C到17C-17C截取的横截面图。
图18A-18B是进一步图解说明根据本发明的ESD结构596的图式。图18A是沿着图17A中的线18A-18A截取的横截面图。图18B是沿着图17A中的线18B-18B截取的横截面图。
具体实施方式
图1展示图解说明根据本发明的正冲击可控硅整流器(SCR)100的实例的横截面图。如下文更详细地描述,SCR 100具有沿第一方向的击穿电压及沿第二方向的击穿电压,且与第二SCR一起使用以实施双向静电放电(ESD)结构。第二SCR具有沿第一方向具有比SCR100高的量值的击穿电压及沿第二方向具有比SCR 100低的量值的击穿电压。
如图1中所展示,SCR 100包含以常规方式形成的p-型衬底110及接触p-型衬底110且位于p-型衬底110上面的p-型外延层112。举例来说,p-型衬底110可以p+晶片及形成于p+块体晶片的顶部上的p-外延层实施。
如图1中所进一步展示,SCR 100包含n+埋入区域114及深n-型区域116。N+埋入区域114从衬底110的顶部表面向下延伸到衬底110中,且在小得多的程度上,从p-型外延层112的底部表面向上延伸到p-型外延层112中。深n-型区域116又从p-型外延层112的顶部表面向下延伸穿过p-型外延层112以接触n+埋入区域114。
SCR 100还包含两者均接触深n-型区域116的第一原生p-型区域120及第二原生p-型区域122。第一原生p-型区域120及第二原生p-型区域122为p-型外延层112的原始间隔开的p-型区域且具有实质上相等掺杂剂浓度。SCR 100进一步包含从p-型外延层112的顶部表面向下延伸且接触深n-型区域116的浅沟槽隔离(STI)区域124。
另外,SCR 100包含第一浅p-型阱130及第一p-型扩散区域132。第一浅p-型阱130接触深n-型区域116及第一原生p-型区域120。第一p-型扩散区域132接触第一原生p-型区域120,并且接触第一浅p-型阱130且沿着第一浅p-型阱130的仅一个纵向侧壁延伸。
第一p-型扩散区域132具有大于第一原生p-型区域120的掺杂剂浓度且小于第一浅p-型阱130的掺杂剂浓度的掺杂剂浓度。因此,第一浅p-型阱130具有大于第一原生p-型区域120的掺杂剂浓度的掺杂剂浓度。(任选地,可省略第一p-型扩散区域132,使得第一浅p-型阱130还占据所述空间。)
SCR 100进一步包含第二浅p-型阱134及第二p-型扩散区域136。第二浅p-型阱134接触深n-型区域116及第二原生p-型区域122。深n-型区域116接触第一浅p-型阱130及第二浅p-型阱134且位于第一浅p-型阱130与第二浅p-型阱134之间。第二浅p-型阱134具有实质上等于第一浅p-型阱130的掺杂剂浓度的掺杂剂浓度。
第二p-型扩散区域136接触第二原生p-区域122,并且接触第二浅p-型阱134且沿着第二浅p-型阱134的仅一个纵向侧壁延伸。第二p-型扩散区域136具有实质上等于第一p-型扩散区域132的掺杂剂浓度的掺杂剂浓度。第二原生p-型区域122具有实质上等于第一原生p-型区域120的掺杂剂浓度的掺杂剂浓度。
SCR 100另外包含接触深n-型区域116并且水平地位于第一浅p-型阱130与第二浅p-型阱134之间且与第一浅p-型阱130及第二浅p-型阱134间隔开的浅n-型漏极区域140。此外,浅n-型漏极区域140相比于第一浅p-型阱130水平地位于更接近于第二浅p-型阱134之处。浅n-型漏极区域140具有大于深n-型区域116的掺杂剂浓度的掺杂剂浓度。
SCR 100还具有包含第一p+触点区域142及第一n+源极区域144的正冲击结构141。第一p+触点区域142接触第一浅p-型阱130及第一n+源极区域144且水平地位于第一浅p-型阱130与第一n+源极区域144之间。第一p+触点区域142还位于与深n-型区域116及第一p-型扩散区域132间隔开之处。第一p+触点区域142具有大于第一浅p-型阱130的掺杂剂浓度的掺杂剂浓度。另外,第一浅p-型阱130由于第一p+触点区域142而相比于第二浅p-型阱134水平地位于更接近于STI区域124之处。
第一n+源极区域144接触第一浅p-型阱130、第一p-型扩散区域132及第一p+触点区域142,且位于与深n-型区域116及第一原生p-型区域120间隔开之处。第一n+源极区域144具有大于深n-型区域116的掺杂剂浓度的掺杂剂浓度。此外,第一浅p-型阱130水平地位于深n-型区域116与第一p+触点区域142之间。
如图1中所进一步展示,SCR 100具有包含第二p+触点区域150及第二n+源极区域152的共用结构146。第二p+触点区域150接触第二p-型扩散区域136,且位于与深n-型区域116及第二原生p-型区域122间隔开之处。第二p+触点区域150具有实质上等于第一p+触点区域142的掺杂剂浓度的掺杂剂浓度。
第二n+源极区域152接触第二浅p-型阱134及第二p+触点区域150且水平地位于第二浅p-型阱134与第二p+触点区域150之间。第二n+源极区域152也位于与深n-型区域116及第二原生p-型区域122间隔开之处。第二n+源极区域152具有实质上等于第一n+源极区域152的掺杂剂浓度的掺杂剂浓度。
此外,第一p+触点区域142水平地位于第一n+源极区域144与第二n+源极区域152之间。另外,第二n+源极区域152水平地位于第一p+触点区域142与第二p+触点区域150之间。SCR 100还任选地包含接触n+源极区域152且位于邻近于n+源极区域152之处的轻掺杂的n-型(LDD型)区域156。
SCR 100进一步包含第一栅极结构160及第二栅极结构162。接触p-型外延层112且位于p-型外延层112上方的第一栅极结构160具有:栅极介电层160-1,其接触深n-型区域116及第一浅p-型阱130且位于深n-型区域116及第一浅p-型阱130上方;栅极160-2,其接触栅极介电层160-1且位于栅极介电层160-1上方;及非导电侧壁间隔件160-3,其横向环绕栅极160-2。(任选地,可省略侧壁间隔件160-3。)栅极160-2也位于STI区域124上方。
接触p-型外延层112且位于p-型外延层112上方的第二栅极结构162具有:栅极介电层162-1,其接触深n-型区域116及第二浅p-型阱134且位于深n-型区域116及第二浅p-型阱134上方;栅极162-2,其接触栅极介电层162-1且位于栅极介电层162-1上方;及非导电侧壁间隔件162-3,其横向环绕栅极162-2。(任选地,可省略侧壁间隔件162-3。)栅极162-2也位于STI区域124上方。
另外,SCR 100包含若干个金属硅化物结构170。金属硅化物结构170包含接触第一p+触点区域142及第一n+源极区域150的第一金属硅化物结构170-1以及接触栅极160-2的第二金属硅化物结构170-2。金属硅化物结构170还包含接触栅极162-2的第三金属硅化物结构170-3以及接触第二p+触点区域150及第二n+源极区域152的第四金属硅化物结构170-4。
此外,SCR 100包含电连接到第一p+触点区域142、第二p+触点区域150、第一n+源极区域144、第二n+源极区域152、栅极160-2及栅极162-2的金属互连结构172。金属互连结构172包含第一介电层174及接触第一介电层174且延伸穿过第一介电层174的若干个金属触点176。
金属触点176包含接触第一金属硅化物层170-1以与第一n+源极区域144进行电连接的第一金属触点176-1及接触第一金属硅化物层170-1以与第一p+触点区域142进行电连接的第二金属触点176-2。
金属触点176还包含接触第二金属硅化物层170-2以与栅极160-2进行电连接的第三金属触点176-3,及接触第三金属硅化物层170-3以与栅极162-2进行电连接的第四金属触点176-4。
金属触点176进一步包含接触第四金属硅化物层170-4以与第二n+源极区域152进行电连接的第五金属触点176-5,及接触第四金属硅化物层170-4以与第二p+触点区域150进行电连接的第六金属触点176-6。
金属互连结构172还包含电连接到金属触点176的若干个金属迹线180。金属迹线180包含接触第一金属触点176-1及第二金属触点176-2的第一金属迹线180-1,以及接触第三金属触点176-3的第二金属迹线180-2。任选地,第一金属迹线180-1与第二金属迹线180-2可为单个金属迹线(如虚线所图解说明)以将栅极160-2电连接到n+源极区域144。
金属迹线180还包含接触第四金属触点176-4的第三金属迹线180-3,以及接触第五金属触点176-5及第六金属触点176-6的第四金属迹线180-4。任选地,第三金属迹线180-3与第四金属迹线180-4可为单个金属迹线(如虚线所图解说明)以将栅极162-2电连接到n+源极区域152。
金属互连结构172还包含为了简单而未展示的额外通孔及金属迹线层。(栅极160-2还可通过较高金属层电连接到n+源极区域144。类似地,栅极162-2可通过较高金属层电连接到n+源极区域152。)
在操作中,在+ESD事件期间,金属迹线180-1、第一n+源极区域144、第一p+触点区域142及第一浅p-型阱130上的电压迅速上升,此使第一浅p-型阱130与深n-型区域116之间的pn结正向偏置。所述经正向偏置结致使空穴从第一浅p-型阱130注入到深n-型区域116中且致使电子从深n-型区域116注入到第一浅p-型阱130中。因此,正向偏置致使深n-型区域116上的电压上升且跟随第一浅p-型阱130上的上升电压,跟在第一浅p-型阱130上的上升电压大约0.7V后面。
深n-型区域116上的上升电压使第二浅p-型阱134与深n-型区域116之间的pn结反向偏置。当深n-型区域116上的上升电压的量值超过所述pn结的击穿电压的量值时,雪崩倍增致使大量空穴从深n-型区域116注入到第二原生p-型区域122、第二p-型阱134及第二扩散p-型区域136中且致使大量电子从第二原生p-型区域122、第二p-型阱134及第二扩散p-型区域136注入到深n-型区域116中。
击穿电压的量值部分地由位于第二p-型阱134与浅n-型漏极区域140之间的水平距离控制。因此,所述水平距离的改变致使击穿电压的量值改变。这是因为相邻深n-型区域116迅速变得耗尽,使得pn结由浅n-型漏极区域140的位置有效地界定。
因此,跨越经正向偏置结从第一p-型阱130注入到深n-型区域116中的空穴接着跨越经反向偏置结从深n-型区域116注入到第二原生p-型区域122、第二p-型阱134及第二扩散p-型区域136中以流动到第二p+触点区域150。跨越经反向偏置结从第二原生p-型区域122、第二p-型阱134及第二扩散p-型区域136注入到深n-型区域116中的电子接着跨越经正向偏置结从深n-型区域116注入到第一浅p-型阱130中。
另外,第二扩散p-型区域136具有比第二浅p-型阱130高的电阻。因此,第二扩散p-型区域136的较高电阻致使第二浅p-型阱130中的局部电压增加且使第二浅p-型阱134与第二n+源极区域152之间的pn结正向偏置。
使此pn结正向偏置致使空穴从第二浅p-型阱134注入到第二n+源极区域152中且致使电子从第二n+源极区域152注入到第二浅p-型阱134中。流动到第二浅p-型阱134中的经增加数目个电子使注入到深n-型区域116中的电子的数目增加。
因此,SCR 100的优点中的一者为SCR 100利用轻掺杂的高电阻p-型扩散区域136来使局部电压升高且使第二浅p-型阱134与第二n+源极区域152之间的pn结正向偏置。使此pn结正向偏置实质上增加空穴及电子流量的量值,借此提供经增加ESD保护。
SCR 100的另一优点为SCR 100利用较宽第一浅p-型阱130以及p+触点区域142来减小此p-型区域的电阻,此p-型区域充当PNP双极晶体管的射极,所述PNP双极晶体管还包含深n-型区域116作为基极及第二浅p-型阱134/第二扩散p-型区域136作为集电极。(第一浅p-型阱130比第二浅p-型阱134宽,这是因为第一浅p-型阱130相比于第二浅p-型阱134位于更接近于STI区域124之处。)第一浅p-型阱130的宽度可比第二浅p-型阱134的宽度宽,这是因为p+触点区域142实质上消除关于短沟道效应(例如穿通)的任何担忧。
图2展示图解说明根据本发明的替代实施例的正冲击可控硅整流器(SCR)200的实例的横截面图。SCR 200类似于SCR 100且因此利用相同参考编号来指定SCR 100及SCR 200两者共有的结构。
如图2中所展示,SCR 200与SCR 100的不同之处在于SCR 200包含第一p+埋入层210,第一p+埋入层210接触n+埋入层114、接触第一原生p-型区域120且位于第一原生p-型区域120下方并且位于第一浅p-型阱130正下方。SCR 200另外与SCR 100的不同之处在于SCR 200还包含第二p+埋入层212,第二p+埋入层212接触n+埋入层114、接触第二原生p-型区域122且位于第二原生p-型区域122下方并且位于第二浅p-型阱134正下方。第一p+埋入层210与第二p+埋入层212彼此间隔开。
SCR 200以与SCR 100相同的方式操作,只是第一p+埋入层210及第二p+埋入层212使反向偏置击穿电压的量值增加而同时维持极低预击穿泄漏电流除外。因此,第一p+埋入层210及第二p+埋入层212允许SCR 200在需要极高击穿电压(例如,+90V)的情况下操作。
任选地,SCR 200还可包含为较轻n-型掺杂剂浓度的区域的第一较轻n-型埋入区域220及第二较轻n-型埋入区域222。也使反向偏置击穿电压的量值增加的埋入区域220及222可连同第一p+埋入层210及第二p+埋入层212一起使用或在不具有第一p+埋入层210及第二p+埋入层212的情况下使用。
图3展示图解说明根据本发明的替代实施例的负冲击可控硅整流器(SCR)300的实例的横截面图。SCR 300类似于SCR 100且因此利用相同参考编号来指定SCR 100及SCR 300两者共有的结构。
如图3中所展示,SCR 300与SCR 100的不同之处在于SCR 300利用第一浅p-型阱330代替第一浅p-型阱130且利用第一p-型扩散区域332代替第一p-型扩散区域132。第一浅p-型阱330与第一浅p-型阱130的不同之处在于第一浅p-型阱330比第一浅p-型阱130窄。
第一浅p-型阱330接触深n-型区域116及第一原生p-型区域120。第一p-型扩散区域332接触第一原生p-型区域120,并且接触第一浅p-型阱330且沿着第一浅p-型阱330的仅一个纵向侧壁延伸。第一p-型扩散区域332具有大于第一原生p-型区域120的掺杂剂浓度且小于第一浅p-型阱330的掺杂剂浓度的掺杂剂浓度。
如图3中所进一步展示,SCR 300与SCR 100的不同之处在于SCR 300利用第二浅p-型阱334代替第二浅p-型阱134且利用第二p-型扩散区域336代替第二p-型扩散区域136。第二浅p-型阱334与第二浅p-型阱134的不同之处在于第二浅p-型阱334比第二浅p-型阱134宽。
第二浅p-型阱334接触深n-型阱116及第二原生p-型区域122。第二p-型扩散区域336接触第二原生p-型区域122,并且接触第二浅p-型阱334且沿着第二浅p-型阱334的仅一个纵向侧壁延伸。第二p-型扩散区域336具有大于第二原生p-型区域122的掺杂剂浓度且小于第二浅p-型阱334的掺杂剂浓度的掺杂剂浓度。(任选地,可省略第二p-型扩散区域336,使得第二浅p-型阱334还占据所述空间。)
另外,SCR 300与SCR 100的不同之处在于SCR 300利用浅n-型漏极区域340代替浅n-型漏极区域140。浅n-型漏极区域340接触深n-型区域116,并且水平地位于第一浅p-型阱330与第二浅p-型阱334之间且与第一浅p-型阱330及第二浅p-型阱334间隔开。浅n-型漏极区域340与浅n-型漏极区域140的不同之处在于浅n-型漏极区域340相比于第二浅p-型阱334水平地位于更接近于第一浅p-型阱330之处。
SCR 300与SCR 100的不同之处进一步在于SCR 300利用包含第一p+触点区域342代替第一p+触点区域142及包含第一n+源极区域344代替第一n+源极区域144的负冲击结构341。第一p+触点区域342及第一n+源极区域344与第一p+触点区域142及第一n+源极区域144的不同之处在于p+触点区域及n+源极区域的位置为相反的。第一p+触点区域342接触第一p-型扩散区域332,而第一n+源极区域344接触第一浅p-型阱330及第一p+触点区域342。另外,SCR 100还任选地利用接触n+源极区域344且位于邻近于n+源极区域344之处的轻掺杂的n-型(LDD型)区域356代替区域156。
SCR 300另外与SCR 100的不同之处在于SCR 300利用包含第二p+触点区域350代替第二p+触点区域150及第二n+源极区域352代替第二n+源极区域152的共用结构346。第二p+触点区域350及第二n+源极区域352与第二p+触点区域150及第二n+源极区域152的不同之处在于p+触点区域及n+源极区域的位置为相反的。
第二p+触点区域350接触第二浅p-型阱334且位于与第二p-型扩散区域336间隔开之处,而第二n+源极区域352接触第二浅p-型阱334、第二扩散p-型区域336及第二p+触点区域350。N+源极区域344水平地位于第三p+触点区域342与第四p+触点区域350之间。p+触点区域350水平地位于第三源极区域344与第四源极区域352之间。
在操作中,在-ESD事件期间,金属迹线180-1、第一n+源极区域344、第一p+触点区域342及第一浅p-型阱330上的电压迅速下降,此使第一浅p-型阱330与深n-型区域116之间的pn结反向偏置。当第一浅p-型阱330上的下降电压的量值超过pn结的击穿电压的量值时,雪崩倍增致使大量空穴从深n-型区域116注入到第一原生p-型区域120、第一浅p-型阱330及第一p-型扩散区域332中且致使大量电子从第一原生p-型区域120、第一浅p-型阱330及第一p-型扩散区域332注入到深n-型区域116中。
击穿电压的量值部分地由位于第一浅p-型阱330与浅n-型漏极区域340之间的水平距离控制。因此,所述水平距离的改变致使击穿电压的量值改变。这是因为相邻深n-型区域116迅速变得耗尽,使得pn结由浅n-型漏极区域340的位置有效地界定。
注入到深n-型区域116中的大量电子使深n-型区域116上的电压降低,此又使第二浅p-型阱334与深n-型区域116之间的pn结正向偏置。经正向偏置结致使空穴从第二原生p-型区域122、第二浅p-型阱334及第二p-型扩散区域336注入到深n-型区域116中且致使电子从深n-型区域116注入到第二原生p-型区域122、第二浅p-型阱334及第二p-型扩散区域336中。因此,正向偏置致使深n-型区域116上的电压上升。
因此,跨越经正向偏置结从第二p-型原生区域122、第二浅p-型阱334及第二p-型扩散区域336注入到深n-型区域116中的空穴接着从深n-型区域116注入到第一原生p-型区域120、第一浅p-型阱330及第一p-型扩散区域332中。所述空穴中的一些空穴穿过第一p-型扩散区域332流动到第一p+触点区域342。
跨越经反向偏置结从第一原生p-型区域120、第一p-型阱330及第一p-型扩散区域332注入到深n-型区域116中的电子接着跨越经正向偏置结从深n-型区域116注入到第二p-型原生区域122、第二浅p-型阱330及第二p-型扩散区域336中。
另外,第一扩散p-型区域332具有比第一浅p-型阱330高的电阻。因此,第一扩散p-型区域332的较高电阻致使第一浅p-型阱330中的局部电压增加且使第一浅p-型阱330与第一n+源极区域344之间的pn结正向偏置。
使此pn结正向偏置致使空穴从第一浅p-型阱330注入到第一n+源极区域344中且致使电子从第一n+源极区域344注入到第一浅p-型阱330中。流动到第一n+源极区域344中的经增加数目个空穴使从深n-型区域116注入到第一浅p-型阱330中的空穴的数目增加。
因此,SCR 300的优点中的一者为SCR 300利用高电阻p-型区域332来使局部电压升高且使第一浅p-型阱330与第一n+源极区域344之间的pn结正向偏置。使此pn结正向偏置实质上增加空穴流量的量值,借此提供经增加ESD保护。
SCR 300的另一优点为SCR 300利用较宽第二浅p-型阱334以及p+触点区域350来减小此p-型区域的电阻,此p-型区域充当PNP双极晶体管的集电极,所述PNP双极晶体管还包含深n-型区域116作为基极及第一浅p-型阱330/第一扩散p-型区域332作为射极。(第二浅p-型阱334比第一浅p-型阱330宽,这是因为第二浅p-型阱334相比于第一浅p-型阱330位于更接近于STI区域124之处。)第二浅p-型阱334的宽度可比第一浅p-型阱330的宽度宽,这是因为p+触点区域350实质上消除关于短沟道效应(例如穿通)的任何担忧。
图4展示图解说明根据本发明的替代实施例的负冲击可控硅整流器(SCR)400的实例的横截面图。SCR 400类似于SCR 300且因此利用相同参考编号来指定SCR 300及SCR 400两者共有的结构。
如图4中所展示,SCR 400与SCR 300的不同之处在于SCR 400包含第一p+埋入层410,第一p+埋入层410接触n+埋入层114、接触原生p-型区域120且位于原生p-型区域120下方并且位于第一浅p-型阱330正下方。SCR 400另外与SCR 300的不同之处在于SCR 400还包含第二p+埋入层412,第二p+埋入层412接触n+埋入层116、接触原生p-型区域122且位于原生p-型区域122下方并且位于第二浅p-型阱334正下方。第一p+埋入层410与第二p+埋入层412彼此间隔开。
SCR 400以与SCR 300相同的方式操作,只是第一p+埋入层410及第二p+埋入层412使反向偏置击穿电压的量值增加而同时维持极低预击穿泄漏电流除外。因此,第一p+埋入层410及第二p+埋入层412允许SCR 400在需要极高击穿电压(例如,-90V)的情况下操作。
任选地,SCR 400还可包含为较轻n-型掺杂剂浓度的区域的第一较轻n-型埋入区域420及第二较轻n-型埋入区域422。也使反向偏置击穿电压的量值增加的埋入区域420及422可连同第一p+埋入层410及第二p+埋入层412一起使用或在不具有第一p+埋入层410及第二p+埋入层412的情况下使用。
图5A-5C到图17A-17C展示图解说明根据本发明的制作双向静电放电(ESD)装置的方法500的一系列图式。图5A-17A展示平面图。图5B-17B展示分别沿着图5A-17A中的线5B-5B到17B-17B截取的横截面图。图5C-17C展示分别沿着图5A-17A中的线5C-5C到17C-17C截取的横截面图。
如图5A-5C中所展示,方法500利用以常规方式形成的p-型半导体衬底510。举例来说,p-型半导体衬底510可以p+块体晶片及已形成于p+块体晶片的顶部表面上的p-外延层来实施。
如图5A-5C中所进一步展示,方法500通过以常规方式在p-型半导体衬底510的顶部表面上形成硬掩模512而开始。在本实例中,硬掩模512为可通过在p-型半导体衬底510的顶部表面上沉积氧化物层、后续接着在氧化物层的顶部表面上形成经图案化光致抗蚀剂层而形成的氧化物掩模。
经图案化光致抗蚀剂层是以包含以下各项的常规方式形成:沉积光致抗蚀剂层,将光投射穿过称为掩模的经图案化黑色/透明玻璃板以在光致抗蚀剂层上形成软化通过所述光暴露的光致抗蚀剂区域的经图案化图像,及移除所述经软化光致抗蚀剂区域。
在已形成经图案化光致抗蚀剂层之后,蚀刻氧化物层的经暴露区域直到p-型半导体衬底510的顶部表面被暴露为止。在蚀刻之后,以常规方式(例如借助除灰工艺)移除经图案化光致抗蚀剂层以形成硬掩模512。
一旦已形成硬掩模512,便向p-型半导体衬底510的经暴露区域植入n-型掺杂剂以在p-型半导体衬底510的顶部表面中形成n+埋入层514。N+埋入层514环绕位于经图案化光致抗蚀剂层512下方的若干个条带516。
在此之后,以常规方式对植入物进行退火。退火致使来自n+埋入层514的n-型掺杂剂扩散到条带516中且将导电性从p-型改变为n-型。因此,条带516具有比n+埋入层514的掺杂剂浓度低的n-型掺杂剂浓度。
n-型条带516对应于较轻n-型埋入区域220/222及420/422。(替代地,可通过不使用经图案化光致抗蚀剂层512来形成条带516而获得均匀n+埋入层514。SCR 100及SCR300为具有均匀n+埋入层514的SCR的实例。)在退火之后,以常规方式移除硬掩模512。
如图6A-6C中所展示,在已形成n+埋入层514及n-型条带516之后,以常规方式在p-型半导体衬底510的顶部表面上形成经图案化光致抗蚀剂层520。一旦已形成经图案化光致抗蚀剂层520,便向p-型半导体衬底510的经暴露区域植入p-型掺杂剂。
植入物在p-型半导体衬底510的顶部表面中形成若干个间隔开的p+埋入层522,使得每一p+埋入层522位于一对条带516上方。在此之后,以常规方式移除经图案化光致抗蚀剂层520,且以常规方式对植入物进行退火。(替代地,可省略对应于p+埋入层210/212及410/412的p+埋入层522。SCR 100及SCR 300为不具有p+埋入层522的SCR的实例。)
如图7A-7C中所展示,在已形成p+埋入层522之后,以常规方式在p-半导体衬底510的顶部表面上生长p-型外延层530。另外,在外延生长期间的热处理致使n+埋入层514及p+埋入层522向上扩散到p-型外延层530的底部中。
接下来,以常规方式在p-型外延层530的顶部表面上形成经图案化光致抗蚀剂层532。在此之后,向p-型外延层530的经暴露区域植入n-型掺杂剂以形成延伸穿过p-型外延层530以接触n+埋入层514的深n-型区域534。深n-型区域534具有小于n+埋入层514的掺杂剂浓度的掺杂剂浓度。在此之后,以常规方式移除经图案化光致抗蚀剂层532,且以常规方式对植入物进行退火。
如图8A-8C中所展示,在已形成深n-型区域534之后,以常规方式在p-型外延层530中形成浅沟槽隔离(STI)区域536。举例来说,可通过在p-型外延层530的顶部表面中蚀刻开口、后续接着沉积填充所述开口的氧化物及随后平面化所述氧化物(例如通过化学-机械抛光)而形成STI区域536。
如图9A-9C中所展示,一旦已形成STI区域536,便在p-型外延层530的顶部表面上形成经图案化光致抗蚀剂层540。在此之后,向p-型外延层530的经暴露区域植入p-型掺杂剂以在p-型外延层530内形成若干个p-型浅阱542。p-型浅阱542包含p-型浅阱542-1、p-型浅阱542-2、p-型浅阱542-3及p-型浅阱542-4。(代替使用具有n-型及p-型植入物的p-型外延层530来形成结构,可替代地使用具有n-型及p-型植入物的n-型外延层来形成结构。)
在本实例中,形成p-型浅阱542以具有带伸长原生p-型中心区域的跑道形状(图展示跑道的一端)。另外,植入物还界定位于p-型浅阱542下方的若干个p-型原生区域544。
此外,每一p-型浅阱542具有若干个交替较宽区域与较窄区域,所述交替较宽区域与较窄区域经布置使得所述较宽区域具有在水平上邻近的较窄区域且所述较窄区域具有在水平上邻近的较宽区域。在此之后,以常规方式移除经图案化光致抗蚀剂层540,且以常规方式对植入物进行退火。(虽然p-型浅阱542被图解说明为具有跑道形状,但可替代地将p-型浅阱542形成为条带。)
退火致使p-型掺杂剂从p-型浅阱542向外扩散到伸长原生p-型中心区域中以形成若干个p-型扩散区域546且扩散到p-型原生区域544中以减小p-型原生区域544的大小。p-型扩散区域546具有大于p-型原生区域544的掺杂剂浓度且小于p-型浅阱542的掺杂剂浓度的掺杂剂浓度。p-型浅阱542具有超过p-型原生区域544的掺杂剂浓度的掺杂剂浓度。
如图10A-10C中所展示,一旦已形成p-型扩散区域546,便在p-型外延层530的顶部表面上形成经图案化光致抗蚀剂层550。在此之后,穿过STI区域536向p-型外延层530的经暴露区域植入n-型掺杂剂以在p-型外延层530内形成n-型浅漏极阱552。
N-型浅漏极阱552位于每一对邻近p-型浅阱542之间,且相比于另一邻近p-型浅阱542位于更接近于一个邻近p-型浅阱542之处。在此之后,以常规方式移除经图案化光致抗蚀剂层550,且以常规方式对植入物进行退火。
如图11A-11C中所展示,一旦已形成n-型浅漏极阱552,便以常规方式在p-型外延层530的顶部表面上形成栅极氧化物层554,后续接着常规形成多晶硅层556。接下来,在多晶硅层556的顶部表面上形成经图案化光致抗蚀剂层560。
在此之后,如图12A-12C中所展示,以常规方式蚀刻多晶硅层556的经暴露区域以形成若干个栅极562。栅极562包含第一栅极562-1、第二栅极562-2及第三栅极562-3以及第四栅极562-4。每一栅极562位于深n-型阱534、STI区域536及p-型浅阱542的一部分上方。在此之后,以常规方式移除经图案化光致抗蚀剂层560。
如图13A-13C中所展示,在已形成栅极562之后,在栅极氧化物层554及栅极562上方形成经图案化光致抗蚀剂层564。接下来,任选地将n-型掺杂剂植入到p-型浅阱542中以形成若干个轻掺杂的(LDD型)区域566。在此之后,以常规方式移除经图案化光致抗蚀剂层564,且以常规方式对植入物进行退火。
如图14A-14C中所展示,在已形成轻掺杂的区域566之后,以常规方式形成若干个侧壁间隔件570以环绕栅极562。举例来说,可通过沉积薄氧化物层、后续接着沉积较厚氮化物层而形成侧壁间隔件570。在此之后,各向异性蚀刻较厚氮化物层及接着薄氧化物层,直到已从栅极562的顶部表面移除薄氧化物层以形成侧壁间隔件570为止。
一旦已形成侧壁间隔件570,便在栅极氧化物层554及栅极562上方形成经图案化光致抗蚀剂层572。接下来,将n-型掺杂剂植入到外延层530中以形成若干个n+源极区域574。n+源极区域574包含n+源极区域574-1、n+源极区域574-2、n+源极区域574-3及n+源极区域574-4。(n+区域574被标记为源极区域,这是因为SCR类似于具有n-型浅阱552作为共享漏极的经合并漏极延伸MOS(DEMOS)晶体管。)在此之后,以常规方式移除经图案化光致抗蚀剂层572,且以常规方式对植入物进行退火。
如图15A-15C中所展示,在已形成n+源极区域574之后,在栅极氧化物层554及栅极562上方形成经图案化光致抗蚀剂层576。接下来,将p-型掺杂剂植入到外延层530中以形成若干个p+触点区域580。p+触点区域580包含p+触点区域580-1、p+触点区域580-2、p+触点区域580-3及p+触点区域580-4。在此之后,以常规方式移除经图案化光致抗蚀剂层576,且以常规方式对植入物进行退火。
如图16A-16C中所展示,在已形成p+触点区域580之后,移除栅极氧化物层554的经暴露区域以暴露外延层530的顶部表面且形成下伏于栅极562下的若干个栅极氧化物区域582。栅极氧化物区域582包含下伏于栅极562-1下的栅极氧化物区域582-1、下伏于栅极562-2下的栅极氧化物区域582-2、下伏于栅极562-3下的栅极氧化物区域582-3及下伏于栅极562-4下的栅极氧化物区域582-4。
接下来,以常规方式在外延层530上形成硅化物阻挡层584。在本实例中,硅化物阻挡层584为可通过在外延层530的顶部表面上沉积氧化物层、后续接着在氧化物层的顶部表面上形成经图案化光致抗蚀剂层而形成的氧化物层。
在已形成经图案化光致抗蚀剂层之后,蚀刻氧化物层的经暴露区域直到已穿过氧化物层形成暴露外延层530的顶部表面及栅极562的顶部表面的若干个开口为止。在蚀刻之后,以常规方式移除经图案化光致抗蚀剂层以形成硅化物阻挡层584。
一旦已形成硅化物阻挡层584,便以常规方式对外延层530及栅极562的经暴露区域进行硅化。在本实例中,沉积金属硅化物层且接着对其进行退火。接下来,移除过多金属,后续接着另一退火以与任何未反应金属反应。
硅化在栅极562、n+源极区域574及p+触点区域580上形成若干个金属硅化物区域586。金属硅化物区域586包含形成于n+源极区域574-1及p+触点区域580-1上的金属硅化物区域586-1、形成于n+源极区域574-2及p+触点区域580-2上的金属硅化物区域586-2、形成于n+源极区域574-3及p+触点区域580-3上的金属硅化物区域586-3以及形成于上n+源极区域574-4及p+触点区域580-4的金属硅化物区域586-4。在此之后,以常规方式移除经图案化光致抗蚀剂层584。
如图17A-17C中所展示,在已形成硅化物区域586之后,以常规方式在外延层530、STI区域536及硅化物区域586上形成介电层590。接下来,以常规方式形成若干个金属触点592以延伸穿过介电层590以与硅化物区域586进行电连接。
举例来说,可在介电层590上形成经图案化光致抗蚀剂层、后续接着蚀刻介电层590的经暴露区域以在介电层590中形成暴露硅化物区域586的顶部表面的开口。在此之后,沉积金属层以将所述开口填满、后续接着从介电层590的顶部表面移除金属层的平面化步骤(例如化学-机械抛光),借此留下金属触点592。
一旦已形成金属触点592,方法500便接下来以常规方式在介电层590的顶部表面上形成若干个金属-1迹线594以接触金属触点592。金属-1迹线594包含第一金属-1迹线594-1及第二金属-1迹线594-2。举例来说,可在介电层590的顶部表面上沉积金属层、后续接着在所述金属层上形成经图案化光致抗蚀剂层。
在此之后,蚀刻金属层的经暴露区域以暴露介电层590的顶部表面且形成金属-1迹线594。接着,移除经图案化光致抗蚀剂层。金属-1迹线594的形成完成ESD结构596的形成。方法500接着以常规方式继续形成电介质、通孔及金属迹线的额外层。
因此,如图2、4、17A、17B及17C中所展示,方法500形成SCR 200与SCR 400的交替行(且在省略条带516及p+埋入层522时,形成SCR 100与SCR 300的交替行。)SCR 200的每一行包含其中邻近SCR 200共享共用结构的大量经合并SCR 200。类似地,每一行SCR 400包含其中邻近SCR 400共享共用结构的大量经合并SCR 400。
举例来说,参考图9A,p-型浅阱542-1及542-3的较宽部分可各自用于实施SCR 200的浅p-型阱130,而p-型浅阱542-2及542-4的较窄部分可各自用于实施浅p-型阱134。此外,p-型浅阱542-1及542-3的较窄部分可各自用于实施SCR 400的浅p-型阱330,而p-型浅阱542-2及542-4的较宽部分可各自用于实施浅p-型阱334。
另外,参考图14A,n+源极区域574-1可用于实施n+触点区域144,而n+源极区域574-2可用于实施n+源极区域152。此外,n+源极区域574-3可用于实施n+源极区域344,而n+源极区域574-4可用于实施n+源极区域352。
参考图15A,p+触点区域580-1可用于实施p+触点区域142,而p+触点区域580-2可用于实施p+触点区域150。此外,p+触点区域580-3可用于实施p+触点区域342,而p+触点区域580-4可用于实施p+触点区域150。
参考图12A-12C及16A-16C,栅极氧化物区域582-1及栅极562-1可分别用于实施栅极介电层160-1及栅极160-2。另外,栅极氧化物区域582-2及栅极562-2可用于实施栅极介电层162-1及栅极162-2。
如图17A-17C中所进一步展示,ESD结构596包含若干个指形件1710,指形件1710中的每一者包含一个跑道形p-型浅阱542(或两个p-型浅阱条带)及一个扩散区域546。若干个指形件1710又包含若干个第一指形件1712及若干个第二指形件1714,第二指形件1714与第一指形件1712交替,使得第二指形件1714位于每一邻近对第一指形件1712之间。
每一第一指形件1712具有各自与SCR 200中的正冲击结构141对应的若干个正冲击结构1720(结构1720包含n+源极区域574-1及p+触点区域580-1)及各自与SCR 400中的负冲击结构341对应的若干个负冲击结构1722(结构1722包含n+源极区域574-3及p+触点区域580-3)。负冲击结构1722与正冲击结构1720交替,使得负冲击结构1722位于每一在垂直上邻近对正冲击结构1720之间(如图17A中所看出)。每一第一指形件1712还具有若干个过渡区域1724。每一过渡区域1724位于正冲击结构1720与负冲击结构1722之间且接触正冲击结构1720及负冲击结构1722。
每一第二指形件1714具有各自与共用结构146对应的若干个共用结构1730(结构1730包含n+源极区域574-2及p+触点区域580-2)以及各自与共用结构346对应的若干个共用结构1732(结构1732包含n+源极区域574-4及p+触点区域580-4)。共用结构1732与共用结构1730交替,使得共用结构1732位于每一邻近对共用结构1730之间。每一第二指形件1714还具有若干个过渡区域1734。每一过渡区域1734位于共用结构1730与共用结构1732之间且接触共用结构1730及共用结构1732。
图18A-18B展示进一步图解说明根据本发明的ESD结构596的图式。图18A展示沿着图17A中的线18A-18A截取的横截面图。图18B展示沿着图17A中的线18B-18B截取的横截面图。如图18A-18B中所展示,每一过渡区域1724及每一过渡区域1734由于图16A中的经图案化光致抗蚀剂层584而为无硅化物区域。
如图17A-17C及18A中所另外展示,单个金属迹线594-1将位于单个第一指形件1712中的所有正冲击结构1720及所有负冲击结构1722电连接在一起。以常规方式形成的通孔及金属-2迹线接着用于将欲保护节点电连接到每一第一指形件1712中的单个金属迹线594。
如图17A-17C及18B中所进一步展示,单个金属迹线594-2将位于单个第二指形件1714中的所有共用区域1730及所有共用区域1732电连接在一起。以常规方式形成的通孔及金属-2迹线接着用于将接地节点电连接到每一第二指形件1714中的单个金属迹线594。
第一指形件1712中的每一正冲击区域1720及在水平上邻近的第二指形件1714中的共用区域1730形成SCR 200。类似地,第一指形件1712中的每一负冲击区域1722及在水平上邻近的第二指形件1714中的共用区域1732形成SCR 400。
SCR 200提供正ESD冲击保护,而SCR 400提供负ESD冲击保护。因此,每一邻近对SCR 200(正冲击区域1720/共用区域1730)及SCR 400(负冲击区域1722/共用区域1732)提供双向ESD保护。
此外,每一指形件1712可包含大量正冲击区域1720及负冲击区域1722,而每一指形件1714可包含大量共用区域1730及1732。因此,ESD结构596具有大量分散式双向SCR对。(测试指示ESD性能随增加的数目个冲击区域1720/1722及共用区域1730/1732而改进。)
在操作中,当欲保护节点经历正ESD事件时,每一第一指形件1712中的正冲击区域1720中的每一者接收正电压冲击。由于ESD结构596中的每一SCR 200的操作,每一第二指形件1714中的共用区域1730中的每一者对电压冲击做出响应且将ESD电力提供到接地节点。
每一第一指形件1712中的负冲击区域1722中的每一者也从正ESD事件接收电压冲击。每一SCR 400的触发电压设置为足够高使得负冲击区域1722上的正冲击不接通任何SCR400。然而,本发明的优点中的一者为虽然响应于正ESD事件而无SCR 400作为SCR接通,但沿着每一SCR 400的边缘的pnp双极晶体管区域(p-型浅阱/深n-型区域/p-型浅阱)确实接通且使实质量的ESD电流通过。
类似地,当欲保护节点接收到负ESD事件时,每一第一指形件1712中的负冲击区域1722中的每一者接收电压冲击。由于ESD结构596中的每一SCR 400的操作,每一第二指形件1714中的共用区域1732中的每一者对电压冲击做出响应且从接地节点汲取电流。
每一第一指形件1712中的正冲击区域1720中的每一者也从负ESD事件接收电压冲击。每一SCR 200的触发电压经设置使得正冲击区域1720上的负冲击不接通任何SCR200。然而,本发明的优点中的一者为虽然响应于负ESD事件而无SCR 200作为SCR接通,但沿着每一SCR 200的边缘的pnp双极晶体管区域(p-型浅阱/深n-型区域/p-型浅阱)确实接通且使实质量的ESD电流通过。
因此,已描述正冲击SCR、负冲击SCR及利用正冲击SCR及负冲击SCR两者来提供双向ESD保护的ESD结构以及制作方法。由于双向保护是由不同SCR提供的,不同SCR的正触发电压及负触发电压可独立地设置,借此允许(举例来说)+65V及-65V双向保护。另外,在ESD事件期间大量分散式双向ESD对以及沿着未触发SCR的边缘流动的电流的使用提供稳健ESD保护。
应理解,以上描述为本发明的实例,且可在实践本发明时采用本文中所描述的本发明的各种替代方案。因此,打算使所附权利要求书界定本发明的范围且借此涵盖此权利要求书的范围及其等效内容内的结构及方法。

Claims (5)

1.一种形成静电放电ESD结构的方法,其包括:
形成第一阱及第二阱,所述第一阱及第二阱各自具有第一导电性类型,所述第一阱及第二阱被深区域分隔开,所述深区域具有第二导电性类型;
在所述第一阱及第二阱中分别形成第一源极区域及第二源极区域,所述第一源极区域及第二源极区域各自具有所述第二导电性类型;
在所述第一阱中形成第一触点区域,所述第一触点区域横向环绕并邻接至所述第一源极区域,所述第一触点区域具有所述第一导电性类型;以及
在所述第二阱中形成被所述第二源极区域横向环绕的第二触点区域,所述第二触点区域具有所述第一导电性类型。
2.根据权利要求1所述的方法,其进一步包括:
在形成所述第一源极区之前,形成第一阱扩散区域,且所述第一阱扩散区域在所述第一源极区域正下方;以及
在形成所述第二触点区域之前,形成第二阱扩散区域,且所述第二阱扩散区域在所述第二触点区域正下方。
3.根据权利要求1所述的方法,其进一步包括:
在所述深区域中及所述第一阱及第二阱之间形成漏极阱,所述漏极阱具有所述第二导电性类型。
4.根据权利要求1所述的方法,其进一步包括:
在所述第一源极区域和第二源极区域之间形成浅沟槽结构。
5.根据权利要求1所述的方法,其进一步包含:
在所述第一触点区域上方形成第一栅极结构,所述第一触点区域位于所述第一栅极结构和所述第一源极区域之间;以及
在所述第二源极区域上方形成第二栅极结构,所述第二源极区域位于所述第二栅极结构和所述第二触点区域之间。
CN201510337138.5A 2014-06-20 2015-06-17 正冲击scr、负冲击scr以及利用它们的双向esd结构 Active CN105304698B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/311,205 2014-06-20
US14/311,205 US9754929B2 (en) 2014-06-20 2014-06-20 Positive strike SCR, negative strike SCR, and a bidirectional ESD structure that utilizes the positive strike SCR and the negative strike SCR

Publications (2)

Publication Number Publication Date
CN105304698A CN105304698A (zh) 2016-02-03
CN105304698B true CN105304698B (zh) 2020-06-12

Family

ID=54870353

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510337138.5A Active CN105304698B (zh) 2014-06-20 2015-06-17 正冲击scr、负冲击scr以及利用它们的双向esd结构

Country Status (2)

Country Link
US (2) US9754929B2 (zh)
CN (1) CN105304698B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754929B2 (en) * 2014-06-20 2017-09-05 Texas Instruments Incorporated Positive strike SCR, negative strike SCR, and a bidirectional ESD structure that utilizes the positive strike SCR and the negative strike SCR
TWI696257B (zh) * 2016-01-05 2020-06-11 聯華電子股份有限公司 靜電放電保護電路、半導體靜電放電保護元件及其佈局結構
US9613948B1 (en) * 2016-09-22 2017-04-04 United Microelectronics Corp. Electrostatic discharge protection semiconductor device
US10373944B2 (en) 2017-02-28 2019-08-06 Texas Instruments Incorporated ESD protection circuit with integral deep trench trigger diodes
US10700055B2 (en) 2017-12-12 2020-06-30 Texas Instruments Incorporated Back ballasted vertical NPN transistor
US11094806B2 (en) * 2017-12-29 2021-08-17 Texas Instruments Incorporated Fabricating transistors with implanting dopants at first and second dosages in the collector region to form the base region
CN109103182B (zh) * 2018-08-24 2022-03-29 电子科技大学 双向esd防护器件
US11545481B2 (en) * 2019-04-02 2023-01-03 Vanguard International Semiconductor Corporation Electrostatic discharge protection devices
US10998308B2 (en) 2019-07-22 2021-05-04 Texas Instruments Incorporated Area-efficient bi-directional ESD structure
US11171132B2 (en) 2019-10-03 2021-11-09 Globalfoundries U.S. Inc. Bi-directional breakdown silicon controlled rectifiers
CN111354798B (zh) * 2020-03-16 2022-07-01 上海晶丰明源半导体股份有限公司 双向非对称双通道开关器件及其制造方法
CN111354799B (zh) * 2020-04-10 2022-07-01 上海晶丰明源半导体股份有限公司 双向非对称双通道开关器件及其制造方法
US11437466B2 (en) * 2020-08-11 2022-09-06 Taiwan Semiconductor Manufacturing Company Limited Avalanche-protected transistors using a bottom breakdown current path and methods of forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420221B1 (en) * 2000-02-22 2002-07-16 Taiwan Semiconductor Manufacturing Company Method of manufacturing a highly latchup-immune CMOS I/O structure
CN1652331A (zh) * 2004-02-06 2005-08-10 美格纳半导体有限会社 用于静电放电保护的器件及其电路
JP2008205148A (ja) * 2007-02-20 2008-09-04 New Japan Radio Co Ltd 縦型pnpバイポーラトランジスタ用静電破壊保護素子
CN102403337A (zh) * 2010-09-09 2012-04-04 安森美半导体贸易公司 半导体装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3216206B2 (ja) * 1992-03-30 2001-10-09 株式会社デンソー 半導体装置及びその製造方法
US6610262B1 (en) * 2002-03-04 2003-08-26 Taiwan Semiconductor Manufacturing Company Depletion mode SCR for low capacitance ESD input protection
US8890248B2 (en) * 2004-08-26 2014-11-18 Texas Instruments Incorporation Bi-directional ESD protection circuit
US7968936B2 (en) * 2007-12-31 2011-06-28 Texas Instruments Incorporated Quasi-vertical gated NPN-PNP ESD protection device
US7786507B2 (en) * 2009-01-06 2010-08-31 Texas Instruments Incorporated Symmetrical bi-directional semiconductor ESD protection device
US8368116B2 (en) * 2010-06-09 2013-02-05 Analog Devices, Inc. Apparatus and method for protecting electronic circuits
US8853783B2 (en) * 2012-01-19 2014-10-07 Globalfoundries Singapore Pte. Ltd. ESD protection circuit
US8704271B2 (en) 2012-04-27 2014-04-22 Texas Instruments Incorporated Bidirectional electrostatic discharge (ESD) protection
US9293460B2 (en) 2012-08-24 2016-03-22 Texas Instruments Incorporated ESD protection device with improved bipolar gain using cutout in the body well
US8796729B2 (en) * 2012-11-20 2014-08-05 Analog Devices, Inc. Junction-isolated blocking voltage devices with integrated protection structures and methods of forming the same
US9583603B2 (en) * 2013-02-11 2017-02-28 Nxp Usa, Inc. ESD protection with integrated LDMOS triggering junction
US9275991B2 (en) * 2013-02-13 2016-03-01 Analog Devices, Inc. Apparatus for transceiver signal isolation and voltage clamp
US9171833B2 (en) * 2013-07-17 2015-10-27 Intersil Americas LLC Semiconductor structure for enhanced ESD protection
US9754929B2 (en) * 2014-06-20 2017-09-05 Texas Instruments Incorporated Positive strike SCR, negative strike SCR, and a bidirectional ESD structure that utilizes the positive strike SCR and the negative strike SCR

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420221B1 (en) * 2000-02-22 2002-07-16 Taiwan Semiconductor Manufacturing Company Method of manufacturing a highly latchup-immune CMOS I/O structure
CN1652331A (zh) * 2004-02-06 2005-08-10 美格纳半导体有限会社 用于静电放电保护的器件及其电路
JP2008205148A (ja) * 2007-02-20 2008-09-04 New Japan Radio Co Ltd 縦型pnpバイポーラトランジスタ用静電破壊保護素子
CN102403337A (zh) * 2010-09-09 2012-04-04 安森美半导体贸易公司 半导体装置

Also Published As

Publication number Publication date
US9754929B2 (en) 2017-09-05
CN105304698A (zh) 2016-02-03
US11574903B2 (en) 2023-02-07
US20150371985A1 (en) 2015-12-24
US20170358568A1 (en) 2017-12-14

Similar Documents

Publication Publication Date Title
CN105304698B (zh) 正冲击scr、负冲击scr以及利用它们的双向esd结构
CN103137697B (zh) 功率mosfet及其形成方法
US9082620B1 (en) Semiconductor device
US9018673B2 (en) Zener diode device and fabrication
US20050093070A1 (en) Fully silicided NMOS device for electrostatic discharge protection
US7754540B2 (en) Method of forming a SiGe DIAC ESD protection structure
CN103219363B (zh) Esd保护电路
US9397085B2 (en) Bi-directional ESD protection device
US11742236B2 (en) Structure and method for enhancing robustness of ESD device
CN103456752A (zh) Cmos图像传感器及其形成方法
US11521961B2 (en) Back ballasted vertical NPN transistor
US8598625B2 (en) ESD protection device with tunable design windows
US20110156199A1 (en) Low leakage and/or low turn-on voltage schottky diode
US9876006B2 (en) Semiconductor device for electrostatic discharge protection
CN111211119A (zh) 具有深沟槽隔离岛的esd保护器件
US11508806B1 (en) Low leakage ESD MOSFET
US8796775B2 (en) Electro-static discharge protection device
US9153570B2 (en) ESD tolerant I/O pad circuit including a surrounding well
US9281304B2 (en) Transistor assisted ESD diode
US9231120B2 (en) Schottky diode with leakage current control structures
CN116207090A (zh) 静电放电保护结构
US11887979B2 (en) Transient voltage suppression device and manufacturing method therefor
US20220223723A1 (en) Scr having selective well contacts
CN113629052B (zh) 触发电压可调的esd保护结构及其制备方法
CN210349836U (zh) 超低残压的双向esd保护器件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant