CN104882395A - 基板处理装置及基板处理方法 - Google Patents

基板处理装置及基板处理方法 Download PDF

Info

Publication number
CN104882395A
CN104882395A CN201510087749.9A CN201510087749A CN104882395A CN 104882395 A CN104882395 A CN 104882395A CN 201510087749 A CN201510087749 A CN 201510087749A CN 104882395 A CN104882395 A CN 104882395A
Authority
CN
China
Prior art keywords
substrate
treatment
chamber
base plate
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510087749.9A
Other languages
English (en)
Other versions
CN104882395B (zh
Inventor
柳东浩
李庚垠
咸兑昊
金容珍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wonik IPS Co Ltd
Original Assignee
YUANYI IPS CORP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by YUANYI IPS CORP filed Critical YUANYI IPS CORP
Publication of CN104882395A publication Critical patent/CN104882395A/zh
Application granted granted Critical
Publication of CN104882395B publication Critical patent/CN104882395B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及基板处理装置及基板处理方法,其中基板处理装置包括:负载锁定腔室;传送腔室,配置在所述负载锁定腔室的一侧;处理腔室,配置在所述传送腔室的一侧;及基板移送机器人,配置在所述传送腔室内部,在所述负载锁定腔室与所述处理腔室之间移送基板。所述处理腔室包括:多个基板支撑架,在所述处理腔室内部支撑基板;多个气体喷射体,将工序气体分别喷射到所述多个支撑架上;及转台,在所述多个基板支撑架之间移送基板;第一闸门,导入未处理基板;第二闸门,导出处理基板,所述基板移送机器人通过所述第一闸门与所述第二闸门分别单独移送所述未处理基板与所述处理基板,从而提高基板处理效率。

Description

基板处理装置及基板处理方法
技术领域
本发明涉及基板处理装置及基板处理方法,更详细地说涉及可提高基板处理效率的基板处理装置及基板处理方法。
背景技术
一般地说,集群(cluster)系统被称为包括基板移送机器人(或测试分类机:handler)与配置在其周围的多个处理模块的多功能腔室装置。近来,在液晶显示装置(LCD)、等离子显示装置、半导体制造装置等中正在逐渐增加可统一执行多个处理的集群系统的需求。
如上所述,多功能腔室装置包括:负载锁定腔室,用于向外部搬入/搬出基板;传送腔室,与负载锁定腔室连通并移送基板的空间;处理腔室,与传送腔室连通并进行实质性的基板处理。并且,在传送腔室配置移送基板的基板移送机器人。
如上所述,在多功能腔室装置的处理腔室处理多个基板,并且通常都利用相同的工序气体来处理多个基板。因此,为了利用其它工序气体来处理基板,需要吹扫处理腔室内部的过程,据此增加了处理基板所需时间,存在降低生产性的问题。
(现有技术文献)
(专利文献)
专利文献1:KR2013-57409A
发明内容
技术问题
本发明提供在处理腔室内利用相互不同的工序气体来执行基板处理,进而可提高工序效率的基板处理装置及基板处理方法。
本发明提供可在处理腔室有效安装及卸载多个基板的基板处理装置及基板处理方法。
技术方案
根据本发明实施形态的基板处理装置包括:负载锁定腔室;传送腔室,配置在所述负载锁定腔室的一侧;处理腔室,配置在所述传送腔室的一侧;及基板移送机器人,配置在所述传送腔室内部,在所述负载锁定腔室与所述处理腔室之间移送基板,所述处理腔室包括:多个基板支撑架,在所述处理腔室内部支撑基板;多个气体喷射体,将工序气体分别喷射到所述多个支撑架上;及转台,在所述多个基板支撑架之间移送基板;第一闸门,导入未处理基板;第二闸门,导出处理基板,所述基板移送机器人通过所述第一闸门与所述第二闸门分别单独移送所述未处理基板与所述处理基板。
所述处理腔室可包括:本体,上部开放并且形成内部空间;顶盖,配置在所述本体的上部来遮盖所述本体的上部,在所述顶盖具有:延长部,沿着上下方向延长,在其内部形成处理基板的空间。
在所述顶盖可形成多个处理所述基板的空间,所述气体喷射体与所述基板支撑架的配置个数对应于处理所述基板的空间的个数。
在所述多个气体喷射体中至少一个能够喷射与其余气体喷射体不同的工序气体。
在所述转台上部可具有支撑所述基板的多个基板支撑环,在所述转台形成贯通所述基板支撑架的多个开口,在所述开口具有向所述开口内侧的凸起以使支撑所述基板支撑环,所述基板支撑环选择性地被所述基板支撑架与转台支撑。
所述基板移送机器人可包括:旋转轴,设置在所述传送腔室;装载臂,可旋转地连接于所述旋转轴的上部,通过所述第一闸门将所述未处理基板移送到所述处理腔室;及卸载臂,可旋转地连接于所述旋转轴,通过所述第二闸门导出所述处理腔室内的处理基板。
所述负载锁定腔室可包括:第一负载锁定腔室,收容未处理基板;第二负载锁定腔室,收容在所述处理腔室完成处理的处理基板。
根据本发明实施形态的基板处理方法,其作为利用基板处理装置处理基板的方法,其中基板处理装置包括负载锁定腔室、配置在所述负载锁定腔室的一侧的传送腔室、配置在所述传送腔室一侧的处理腔室及配置在所述传送腔室的基板移送机器人,在所述处理腔室具有导入未处理基板的第一闸门与导出处理基板的第二闸门,所述基板移送机器人通过所述第一闸门将所述未处理基板导入所述处理腔室,通过所述第二闸门导出所述处理腔室内的处理基板。
所述处理腔室可包括:多个基板支撑架;多个气体喷射体,与所述多个基板支撑架面对面配置;转台,在所述多个基板支撑架之间移送基板;及多个基板处理空间,分别形成在所述多个基板支承架与多个气体喷射体之间。若在所述多个基板处理空间中的某一个基板处理空间完成基板处理,则根据所述转台的旋转驱动,将所述基板移动到其他基板支承架,在相互不同的基板处理空间执行基板处理。
在所述处理腔室中,在所述第一闸门侧配置装载区域,在所述第二闸门侧配置卸载区域,可在所述装载区域与所述卸载区域分别配置所述多个基板支承架中的一个来形成基板处理空间,在所述装载区域的基板处理空间开始基板处理,在所述卸载区域的基板处理空间完成基板处理。
可通过所述多个气体喷射体全部供应相同的工序气体,在所述多个基板处理空间全部执行相同的基板处理。
可利用所述多个气体喷射体中的至少一个气体喷射体供应其它工序气体,在所述多个基板处理空间中至少一个基板处理空间执行相互不同的基板处理。
在配置在所述装载区域的基板处理空间与配置在所述卸载区域的基板处理空间中,可执行相互不同的基板处理。
在所述多个基板处理空间中的至少一个基板处理空间内,可执行基板的等离子处理。
有益效果
根据本发明实施形态的基板处理装置及基板处理方法,能够在一个处理腔室内利用相互不同的工序气体来处理多个基板。因此,可提高基板处理效率及生产性。
另外,可在处理腔室有效地安装/卸载多个基板。这时,在处理腔室内部设置基板装载区域及卸载区域,可使基板移动到各个区域,通过设置的区域分别装载及卸载基板,进而可减少基板移送机器人的移动次数,可缩短移送基板的所需时间。
附图说明
图1是概略性示出根据本发明实施例的基板处理装置的平面图。
图2是图示图1所示的处理腔室内部构造的剖面图。
图3是图示图1所示的基板移送机器人的立体图。
图4是图示图1所示的基板移送机器人的侧视图。
图5是示出用根据本发明实施例的基板移送方法从负载锁定腔室搬出基板的过程的图。
图6至12是示出用根据本发明实施例的基板移送方法来装载及卸载基板的过程的图。
图13至图15是概念性示出根据本发明实施例在处理腔室内处理基板的各种方法的图。
主要附图标记:
110:负载锁定腔室    120:传送腔室
130:处理腔室        135:基板移动部
137:基板支撑部      140:气体喷射体
200:基板移送机器人
具体实施方法
以下,参照附图详细说明本发明的实施形态。但是,本发明不限于在以下公开的实施形态,可以相互不同的各种形态实现,本实施形态只是使本发明的公开更加完整并且是为了将发明的范畴完全地告知具有通常知识的技术人员而提供的。
图1是概略性图示根据本发明实施例的基板处理装置的平面图。图2是图示图1所示的处理腔室的内部构造的剖面图。图3是图示图1所示的基板移送机器人的立体图。图4是图示图1所示的基板移送机器人的侧视图。
参照图1,基板处理装置包括闸盒模块(未图示)、大气压模块(未图示)、负载锁定腔室110、传送腔室120(transfer chamber)及处理腔室130。闸盒模块装载收容了待处理的基板的闸盒,或卸载用于收容完成了处理的基板的闸盒。大气压模块被配置在闸盒模块的后方,在内部设置可在大气压下操作的搬送机器人(未图示)。搬送机器人将收容在闸盒的基板搬入至负载锁定腔室110,或将负载锁定腔室110内的基板搬出到闸盒。另外,负载锁定腔室110配置在大气压模块110与传送腔室120之间,是从外部搬入或搬出到外部的基板暂时停留的缓冲空间。针对负载锁定腔室110而言,在维持大气压状态时若从外部被搬入基板则转换为真空状态,在将基板搬出到外部时从真空状态转换为大气压状态。这时,负载锁定腔室110可包括收容未处理基板W1的第一负载锁定腔室110a与收容在处理腔室130完成了蒸镀、蚀刻等处理的处理基板W2的第二负载锁定腔室110b,第一负载锁定腔室110a与第二负载锁定腔室110b可并排配置以使收容基板的内部空间相互分离。另外,第一负载锁定腔室110a与第二负载锁定腔室110b可分别配置搬入及搬出基板的闸门。
传送腔室120被配置在负载锁定腔室110与处理腔室130之间,在其内部可转动地设置可在真空状态操作的基板移送机器人200。基板移送机器人200将基板W1、W2移送到负载锁定腔室110与处理腔室130。
在处理腔室130中,对搬入其内部的未处理基板W1执行蒸镀、蚀刻等各种处理。
通常,处理腔室彼此之间隔着传送腔室120而被配置成放射形状,或隔着传送腔室120而对称地配置。并且,在处理腔室130可装载一个或多个基板,尤其在装载多个基板的情况下,在装载的位置实施基板处理。但是,在本发明中,在处理腔室130内可装载多个基板(例如,4个基板),并且可将多个基板分别从装载的区域移动到其它区域。
处理腔室130具有开放了上部的本体132a及可开闭地设置在本体132a上部的顶盖132b。若顶盖132b结合于本体132a的上部来关闭本体132a内部,则在处理腔室130的内部形成密封的空间,以使执行如同蒸镀工序等对基板的处理。空间一般形成真空环境,因此在处理腔室130的预定位置(例如,在底面或侧面)连接用于排出气体的排气管150,排气管150连接于真空泵152。并且,在本体132a的侧壁可形成将基板搬入到空间内部或搬出到外部的闸门131a、131b。闸门131a、131b形成在邻接于传送腔室120的本体132a的侧壁,并且可包括用于将基板搬入到处理腔室130内部的第一闸门131a与用于将基板搬出到处理腔室130外部的第二闸门131b。
如图2所示,顶盖132b的下部还可形成处理基板的基板处理空间。即,顶盖可具有沿着其边缘位置向下部弯曲的延长部132c。据此,在顶盖的下部面可形成根据预定高度的凹槽(未图示)形成的基板处理空间。如此,基板处理空间在顶盖132b的下部可形成多个,例如其个数可对应于安装在处理腔室130的基板支撑架的个数来形成。据此,延长部132c不仅形成在顶盖132b的边缘位置,还可形成在顶盖132b的中心部,并且可形成为使顶盖132b的中心部与边缘位置连接。基板处理空间的平面形状可形成与基板相同的形状,也可根据处理腔室130的形状形成四边形或扇形。这样形成的基板处理空间形成在后述的基板支撑部137的上部侧,对每个基板可独立处理。另外,为了完全地分离基板处理空间,在顶盖的延长部132c也可形成喷射帘式气体(curtain gas)的喷嘴(未图示)。喷嘴可形成为沿着基板的边缘位置喷射帘式气体,也可形成为向安装了基板以外的区域喷射帘式气体。
在顶盖132b可具有将工序气体喷射到后述的基板支撑架的气体喷射体140。气体喷射体140个数对应于基板支撑架个数,至少一个气体喷射体140可连接于供应相互不同种类的工序气体的气体储存器。气体喷射体140也可被配置在形成于上述顶盖132b下部的基板处理空间内部。
另外,在处理腔室130内部可具有在处理基板时放置基板的基板支撑部137。基板支撑部137包括贯通处理腔室130的本体132a底面并且沿上下方向配置的支撑轴137a与连接于支撑轴137a的上部的基板支撑架137b。针对基板支撑部137而言,在处理腔室130内部可具有多个,例如4个。这时形成各个基板支撑部137的支撑轴137a以处理腔室130的中心部为基准,间隔固定间距来被配置为放射状。并且,基板支撑架137b为预定厚度的面板形状,具有与基板形状类似的形状,例如可形成圆盘形状。基板支撑架137b沿着与支撑轴137a的长度方向交叉(即,直交)的方向连接于支撑轴137a的上部,各个基板支撑架137b相互间隔形成。并且,在具有基板支撑部137的处理腔室130的底面可形成凹陷预定深度的基板支撑架安装槽131。基板支撑架安装槽131可形成与基板支撑架137b类似的形状,并且可形成沿上下方向能够移动基板支撑架137b程度的深度。通过这样的构成缩小在处理腔室130内部形成的空间,进而可减少为了处理基板而供应到处理腔室130内部的工序气体的量,并且可减少在吹扫处理腔室130内部时的所需时间。并且,在基板支撑架137b的上部边缘位置可形成具有低于基板支撑架137b上部面的阶梯差的第一台阶138b。第一台阶138b用于安装后述的基板支撑环138。在基板支撑架137b内部可具有加热部件,根据需要在基板支撑架137b下部可单独配置加热装置。支撑轴137a贯通处理腔室130的底面,连接于外部的发动机等驱动手段来使基板支撑架137b上升及下降。
并且,升降销(未图示)可被配置在基板支撑部137,根据基板支撑架137b上下方向移动,其向基板支撑架137b的上部露出来支撑基板。这时,在装载区域L与卸载区域UL装载及卸载基板,因此升降销可被配置在装载区域与卸载区域。
另外,在处理腔室130内部可形成将未处理基板W1装载到处理腔室130内部的装载区域L与将在处理腔室130内部完成了处理的处理基板W2卸载到外部的卸载区域UL。装载区域L意味着在多个基板支撑部137中邻接并配置于第一闸门131a的基板支撑部137所被配置的区域,卸载区域UL意味着在多个基板支撑部137中邻接并配置于第二闸门131b的基板支撑部137所被配置的区域。在这里,第一闸门131a用于移动未处理基板W1,第二闸门131b用于移动完成处理的处理基板W2,但是根据需要也可进行变换。同样地,在处理腔室130内部设置装载区域L与卸载区域UL,因此为了处理多个基板,可在处理腔室130内部将基板移动到相关区域。据此在处理腔室130可具有基板移动部135。基板移动部135可包括转台135b与旋转转台135b的旋转轴135a。旋转轴135a贯通处理腔室130(即,本体132a的中心部底面)且沿着上下方向配置,在旋转轴135a的上部连接转台135b。旋转轴135a可旋转及可沿着上下方向移动,进而可使转台135b旋转及沿着上下方向移动。转台135b为具有预定厚度的面板形状,可形成与配置在处理腔室130内的基板支撑架的个数相当的开口H,例如可形成4个开口H。开口H具有大于后述基板支撑架137b的直径的直径,以使基板支撑架137b通过开口H能够上下方向移动。在转台135b沿着开口H的边缘位置可形成具有低于转台135b上部面的阶梯差的第二台阶138a。第二台阶138a也可形成为与形成在基板支撑架137b的第一台阶138b相同的高度。在这里,针对第二台阶138a,说明了沿着开口H的边缘位置连续形成的情况,但是也可不连续性地形成,即沿着开口H的边缘位置形成部分突出的凸起而支撑基板支撑环138。
通过如上所述的构成,在处理基板时基板被安装在基板支撑架137b的上部,并且当为了装载及卸载基板而将基板移送到装载区域L及卸载区域UL的情况下,基板可被安装在转台135b。但是,转台135b的开口H形成为直径大于基板支撑架137b与基板的直径,因此在移送基板时不可能将基板安装在转台135b上。因此,可配置被基板支撑架137b的边缘位置与转台135选择性支撑的基板支撑环138。基板支撑环138可挂在第一台阶138a(或凸起)与第二台阶138b,当将基板支撑架137b的上部面与转台135b的上部面一致时,基板支撑环138的上部面可位于与两者相同的平面上。据此,若为了处理基板而上升旋转轴135a,则基板被安装在基板支撑环138与基板支撑架137b上来进行上升,若为了移送基板而下降旋转轴135a,则基板在被基板支撑环138支撑的状态下安装在转台135b。这时,为了在处理基板时使整个基板维持固定的温度,基板支撑环138优选为以与基板支撑架137b相同或与导热性类似的材质形成。
配置在传送腔室120的基板移送机器人200制作成多关节构造,在比较窄的传送腔室120内可稳定地移送基板,基板移送机器人200可形成各种形状,但是在这里对包括装载臂220与卸载臂230的基板移送机器人200进行说明,其中装载臂220将未处理基板W1装载于处理腔室130,卸载臂230卸载在处理腔室130完成处理的处理基板W2。
参照图3及图4,基板移送机器人200包括:提供旋转力的驱动部(未图示);连接于驱动部并根据驱动部的操作旋转移送机器人200的机身的旋转轴210;连接于旋转轴210的上部的装载臂220,;连接于装载臂220的上部的卸载臂230,;控制旋转轴210、装载臂220及卸载臂230的动作的控制部。这时,装载臂220使用于将收容在负载锁定腔室的未处理基板W1装载到处理腔室130,卸载臂230可使用于将在处理腔室130完成处理的处理基板卸载到负载锁定腔室。装载臂220包括:一侧可旋转地连接于旋转轴210上部中央的第一臂222;一侧可旋转地连接于第一臂222的另一侧的第二臂224,;一侧可旋转地结合于第二臂224的另一侧的手部226。这时,旋转轴210与第一臂222的连接部位称为第一接点部a,第一臂222与第二臂224的连接部位称为第二接点部b,第二臂224与手部226的连接部位称为第三接点c,各个接点部可在360°以下的范围进行旋转。装载臂220与卸载臂230以相同的构造形成。并且,针对卸载臂230而言,能够以与装载臂220相同的构造形成,差别在于卸载臂230的第一臂232通过装载臂220的第一臂222上部一侧连接于旋转轴210。
旋转轴210根据驱动部的操作旋转第一臂222、232、第二臂224、234及手部226、236,并且能够沿着z轴方向(即,上下方向)移动。
第一臂222、232与第二臂224、234分别通过连接部位来进行旋转,进而可调节方向及长度。这时,第一臂222、232在传送腔室120内以第一接点部a为基准进行旋转运动,进而实现沿着Y轴的直线运动,第二臂224、234以第二接点部b为基准进行旋转运动,进而实现沿着x轴的直线运动。
并且,手部226、236利用在末端形成的叶片228、238来支撑基板,通过第三接点部c连接于第二臂224、234的末端,从而以第三接点部c为基准进行旋转。
并且,针对装载臂220与卸载壁230而言,各个第一臂222、232以第一接点部为同心点具有相同的半径,并且可单独进行旋转。基板移送机器人200被并排配置为,当为了装载或卸载基板而在负载锁定腔室或处理腔室130搬出或搬入基板时,使装载臂220与卸载臂230的手部236朝向相同方向。因此,装载臂220与卸载臂230在比较窄的传送腔室120内可顺畅地移送基板。
驱动部独立旋转安装臂220及卸载臂230各自的旋转轴210、第一臂222、232、第二臂224、234、手部226、236来实现直线运动。驱动部可设置在传送腔室120内部,或还可设置在外部。
控制部控制驱动部、旋转轴210、第一臂222、232、第二臂224、234、手部226、236的动作。控制部根据提前设置的手册来控制驱动部的操作,来控制第一臂222、232、第二臂224、234、手部226、236的旋转方向,进而可装载或卸载基板。
以下,说明利用如上所述的基板处理装置来移送基板的方法。
图5是示出根据本发明实施例的基板移送方法在负载锁定腔室搬出基板的过程的图,图6至12是示出根据本发明实施例的基板移送方法装载及卸载基板的过程的图,图13至图15是示出根据本发明实施例来在处理腔室内处理基板的各种方法的图。
本发明实施例的基板移送方法包括:利用配置在传送腔室120的基板移动机器人200的装载臂220,从负载锁定腔室110搬出未处理基板W1的过程;将搬出的未处理基板W1装载于处理腔室130的过程;若完成基板处理,则利用卸载臂230卸载处理基板W2的过程;将处理基板W2搬入负载锁定腔室的过程。这时,在负载锁定腔室搬出基板来装载于处理腔室130的过程可至少反复执行对应于配置在处理腔室130的基板支撑部137的个数的次数,在处理腔室130装载未处理基板之后装载下一个基板之间,可执行针对装载于处理腔室130的基板的处理。另外,针对卸载处理基板W2的过程而言,可至少反复执行对应于配置在处理腔室130的基板支撑部137个数的次数,在最初卸载处理基板W2之后可与未处理基板W1的安装步骤交替并反复执行。在以下的说明中,手部226、236的前进意味着为了搬入或搬出并装载或卸载基板而进入负载锁定腔室或处理腔室130的状态,手部226、236的后退意味着为了搬入或搬出并装载或卸载基板而进脱离负载锁定腔室或处理腔室130的状态。根据第一臂222、232与第二臂224、234的重叠程度可调节手部226、236的前进或后退。
首先,参照图5说明搬出用于在负载锁定腔室110进行处理的未处理基板W1的过程。
参照图5,基板移送机器人200被配置为,将并排配置装载臂220与卸载臂230的手部226、236的状态下,使手部226、236叶片228、238朝向负载锁定腔室(参照图5的(a))。将与此相同的状态称为初始位置。
之后,将装载臂220的手部236向负载锁定腔室中收容了未处理基板W1的第一负载锁定腔室110a内部(参照图5的(b))前进,将未处理基板W1安装在配置于装载臂220的手部226末端的叶片228,接着后退手部226将收容于第一负载锁定腔室110a的未处理基板W1搬出到传送腔室120。若搬出未处理基板W1,则旋转基板移送机器人200的旋转轴210,使装载臂220与卸载臂230的手部226、236以朝向处理腔室130的方式进行配置(参照图5的(c))。
接着,若从负载锁定腔室搬出未处理基板W1,则将安装了未处理基板W1的装载臂220的手部226向处理腔室130内部前进,来装载未处理基板W1(参照图6)。此时,在处理腔室130下降旋转轴135a与支撑轴137a来下降转台135b与基板支承架137b,同时将转台135b配置在低于基板支承架137b的位置,并且向基板支撑架137b上部凸出升降销。并且,前进装载臂220的手部226,将未处理基板W1装载于向装载区域L的基板支承架137b上部露出的升降销上。
若装载了未处理基板W1,则从处理腔室130后退装载臂220的手部226,然后关闭第一闸门131a。并且,上升支撑轴,以使未处理基板W1安装在基板支承架137b及基板支撑环138上的状态,将基板移动到顶盖下部的基板处理空间。
若处理了装载到处理腔室130内部的基板,则为了处理下一个基板可将基板移动到邻接基板的基板支承架。针对基板而言,上升转台,将被基板支撑架与基板支承环138支撑的基板通过基板支承环138安装在开口边缘位置的第一台阶138a,进而可由转台支撑。若基板被转台支撑,则将旋转轴旋转固定角度(例如,旋转90度)来移动到邻接的基板支撑架上部。之后,下降旋转轴并通过基板支撑环138将基板安装在基板支撑架上。
若未处理基板W1移动到邻接的基板支承架,则由装载了未处理基板W1的区域的气体喷射体喷射工序气体来处理基板。
在这里,若基板移动到邻接的基板支撑架,则在处理腔室130中在配置在装载区域L的基板支撑架为了装载下一个未处理基板W1而处于等待状态。
接着,反复图5所示的过程来从第一负载锁定腔室110a搬出下一个未处理基板W1,并且反复执行向处理腔室130的装载及处理过程(参照图6至图8)。这种过程可至少反复对应于配置在处理腔室130的基板支承架137的个数或基板处理空间的个数,并且若通过一系列的过程,装载到装载区域L的基板支撑架的基板到达卸载区域UL的基板支承架,则完成基板处理。这时,第一闸门131a的关闭及转台135b的旋转可与在第一负载锁定腔室110a搬出未处理基板W1的过程同时执行。在基板处理的执行期间,为了在第一负载锁定腔室110a搬出未处理基板W1(参照图5的的(c))来装载于处理腔室130,基板移送机器人200以在装载臂220的手部226安装未处理基板W1的状态进行等待。
针对基板处理而言,如图13所示,可在多个基板处理空间中的某一个基板处理空间利用与其余基板处理空间不同的工序气体,如图14所示,也可交替执行利用相互不同的两种工序气体的两种工序。这时,在装载区域与卸载区域可进行相互不同的基板处理。另外,如图15所示,可在所有的多个基板处理空间也利用相互不同的工序气体来执行基板处理,并且还可在至少一个基板处理空间执行基板的等离子处理。例如,在一个处理腔室130内利用相同工序气体的基板处理工序还可以是如下的情况:当蒸镀薄膜时,将相同的薄膜经过多次而阶段性地进行蒸镀。这时,多个基板处理空间中的一个,例如在卸载区域的基板处理空间中还可在蒸镀薄膜之后执行等离子处理。等离子处理为,可在安装基板的基板支承架与气体喷射体施加电源,进而在基板处理空间形成等离子,还可在处理腔室外部将工序气体激活成等离子状态,并通过气体喷射体供应至基板处理空间。或者,还可在气体喷射体内激活工序气体来供应到基板处理空间。
并且,利用相互不同的工序气体的两种工序可以是蒸镀相互不同的薄膜的工序,例如反复蒸镀氧化膜与氮化膜的层叠构造。这时,根据层叠构造的层数,基板到达卸载区域的基板支承架之后还可利用转台移动基板来反复蒸镀薄膜。
另外,也可在各个基板处理空间蒸镀4种相互不同的薄膜,同样地,在这情况下在至少一个基板处理空间执行基板的等离子处理。
基板处理开始在装载区域L的基板处理空间,完成在卸载区域UL的基板处理空间。这时,优选为,在执行多于基板处理空间个数的基板处理的情况下,最终的基板处理完成在卸载区域UL的基板处理空间从而能够搬出基板。
如上所述,若基板W1到达卸载区域UL并完成处理,则下降转台135b与基板支承架137b,将位于处理腔室130的卸载区域UL的升降销突出于基板支承架137b上部,由升降销上支撑处理基板W2。
之后,开放配置在卸载区域UL的第二闸门131b,使卸载臂230的手部236向处理腔室130的卸载区域UL前进,将处理基板W2安装在手部236的叶片238(参照图9),然后使手部236后退将处理基板W2卸载到传送腔室120内。若卸载了处理基板W2,则关闭第二闸门131b且旋转转台135b,使处理基板W2移动到装载区域L。
接着,开放第一闸门131a,使装载臂220向处理腔室130内部前进,将在传送腔室120内等待的未处理基板W1装载于升降销上部(参照图11)。
其次,使装载臂220的手部226后退,然后关闭第一闸门131a,在装载区域L执行未处理基板W1的处理。并且,与此同时旋转基板移送机器人200的旋转轴210,向负载锁定腔室前方移动装载臂220与卸载臂230的手部236。
接着,开放第二负载锁定腔室110b的闸门,使卸载臂230的手部236向第二负载锁定腔室110b内部前进,将处理基板W2搬入到第二负载锁定腔室110b内部(参照图12)。
若向第二负载锁定腔室110b内部搬入了处理基板W2,则关闭第二负载锁定腔室110b的闸门。
然后,反复一系列的过程,在处理腔室130反复执行处理基板W2的卸载与未处理基板W1的装载。
如上所述,根据本发明实施形态的基板处理方法,在一个处理腔室130内可执行多个工序,进而可缩短基板处理所需的时间。另外,基板移动机器人200的装载臂220与卸载臂230分别承担基板的装载与卸载,进而可缩短移送基板所需的时间。另外,即使增加了在处理腔室130处理的基板个数,也无需增加基板移动机器人200而可有效地移送基板。
如上所述,在本发明的详细说明中对具体实施例进行了说明,但是在不超出本发明的范围内可进行各种变形。因此针对本发明的范围而言,不应限定于所说明的实施例,应该由后述的权利要求范围以及与权利要求范围同等的范围来决定。

Claims (14)

1.一种基板处理装置,其特征在于,包括:
负载锁定腔室;
传送腔室,配置在所述负载锁定腔室的一侧;
处理腔室,配置在所述传送腔室的一侧;及
基板移送机器人,配置在所述传送腔室内部,在所述负载锁定腔室与所述处理腔室之间移送基板,
其中,所述处理腔室包括:多个基板支撑架,在所述处理腔室内部支撑基板;多个气体喷射体,将工序气体分别喷射到所述多个支撑架上;转台,在所述多个基板支撑架之间移送基板;第一闸门,导入未处理基板;以及第二闸门,导出处理基板,
所述基板移送机器人通过所述第一闸门与所述第二闸门分别单独移送所述未处理基板与所述处理基板。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述处理腔室包括:本体,上部开放并且形成有内部空间;顶盖,配置在所述本体的上部来遮盖所述本体的上部,
在所述顶盖具有:延长部,沿着上下方向延长,在其内部形成有处理基板的空间。
3.根据权利要求2所述的基板处理装置,其特征在于,
在所述顶盖形成多个处理所述基板的空间,
所述气体喷射体与所述基板支撑架的配置个数对应于处理所述基板的空间的个数。
4.根据权利要求3所述的基板处理装置,其特征在于,
在所述多个气体喷射体中的至少一个喷射与其余气体喷射体不同的工序气体。
5.根据权利要求4所述的基板处理装置,其特征在于,
在所述转台上部具有支撑所述基板的多个基板支撑环,
在所述转台形成贯通所述基板支撑架的多个开口,在所述开口具有向所述开口内侧的凸起用以支撑所述基板支撑环,
所述基板支撑环选择性地由所述基板支撑架与转台支撑。
6.根据权利要求1至5中任意一项所述的基板处理装置,其特征在于,
所述基板移送机器人包括:
旋转轴,设置在所述传送腔室,
装载臂,可旋转地连接于所述旋转轴的上部,通过所述第一闸门将所述未处理基板移送到所述处理腔室;及
卸载臂,可旋转地连接于所述旋转轴,通过所述第二闸门导出所述处理腔室内的处理基板。
7.根据权利要求6所述的基板处理装置,其特征在于,
所述负载锁定腔室包括:第一负载锁定腔室,收容未处理基板;第二负载锁定腔室,收容在所述处理腔室完成处理的处理基板。
8.一种基板处理方法,作为利用基板处理装置处理基板的方法,其中基板处理装置包括负载锁定腔室、配置在所述负载锁定腔室的一侧的传送腔室、配置在所述传送腔室一侧的处理腔室及配置在所述传送腔室的基板移送机器人,其特征在于,
在所述处理腔室具有导入未处理基板的第一闸门与导出处理基板的第二闸门,
所述基板移送机器人通过所述第一闸门将所述未处理基板导入到所述处理腔室,通过所述第二闸门导出所述处理腔室内的处理基板。
9.根据权利要求8所述的基板处理方法,其特征在于,
所述处理腔室包括:多个基板支撑架;多个气体喷射体,与所述多个基板支撑架面对面配置;转台,在所述多个基板支撑架之间移送基板;及多个基板处理空间,分别形成在所述多个基板支承架与多个气体喷射体之间,
若在所述多个基板处理空间中的一个基板处理空间完成基板处理,则根据所述转台的旋转驱动,将所述基板移动到其他基板支承架,在相互不同的基板处理空间执行基板处理。
10.根据权利要求9所述的基板处理方法,其特征在于,
在所述处理腔室中,在所述第一闸门侧配置装载区域,在所述第二闸门侧配置卸载区域,
所述装载区域与所述卸载区域分别配置所述多个基板支承架中的一个基板支承架来形成基板处理空间,
在所述装载区域的基板处理空间开始基板处理,在所述卸载区域的基板处理空间完成基板处理。
11.根据权利要求10所述的基板处理方法,其特征在于,
利用所述多个气体喷射体全部供应相同的工序气体,进而在所述多个基板处理空间全部执行相同的基板处理。
12.根据权利要求10所述的基板处理方法,其特征在于,
在所述多个气体喷射体中,利用至少一个气体喷射体供应其它工序气体,在所述多个基板处理空间中的至少一个基板处理空间执行相互不同的基板处理。
13.根据权利要求12所述的基板处理方法,其特征在于,
在配置在所述装载区域的基板处理空间与配置在所述卸载区域的基板处理空间中,执行相互不同的基板处理。
14.根据权利要求9至13中任意一项所述的基板处理方法,其特征在于,
在所述多个基板处理空间中的至少一个基板处理空间内,执行基板的等离子处理。
CN201510087749.9A 2014-02-27 2015-02-26 基板处理装置及基板处理方法 Active CN104882395B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020140023493A KR102135740B1 (ko) 2014-02-27 2014-02-27 기판 처리 장치 및 기판 처리 방법
KR10-2014-0023493 2014-02-27

Publications (2)

Publication Number Publication Date
CN104882395A true CN104882395A (zh) 2015-09-02
CN104882395B CN104882395B (zh) 2018-06-12

Family

ID=53882889

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510087749.9A Active CN104882395B (zh) 2014-02-27 2015-02-26 基板处理装置及基板处理方法

Country Status (5)

Country Link
US (1) US20150243490A1 (zh)
JP (1) JP6062975B2 (zh)
KR (1) KR102135740B1 (zh)
CN (1) CN104882395B (zh)
TW (1) TWI587425B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107924862A (zh) * 2015-09-03 2018-04-17 川崎重工业株式会社 基板移载装置
CN109963820A (zh) * 2016-11-16 2019-07-02 日本电气硝子株式会社 玻璃基板的制造装置及制造方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
KR20180082509A (ko) 2015-12-07 2018-07-18 어플라이드 머티어리얼스, 인코포레이티드 병합형 커버 링
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10522387B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and multi-wafer deposition apparatus
KR101928008B1 (ko) 2017-04-24 2018-12-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2022076547A (ja) * 2020-11-10 2022-05-20 東京エレクトロン株式会社 基板処理システム、基板処理方法、および制御プログラム
KR102394121B1 (ko) * 2021-10-08 2022-05-04 (주) 티로보틱스 기판 이송 로봇을 챔버 내에서 주행하기 위한 주행 로봇

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010007630A1 (en) * 2000-01-12 2001-07-12 Yoshihiro Katsumata Substrate transfer system and substrate processing apparatus
CN1909182A (zh) * 2005-08-05 2007-02-07 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN101075551A (zh) * 2006-05-17 2007-11-21 台湾积体电路制造股份有限公司 制程设备组
KR100839191B1 (ko) * 2007-03-28 2008-06-17 세메스 주식회사 기판 처리 장치 및 방법
TW201330138A (zh) * 2011-09-26 2013-07-16 Hitachi Int Electric Inc 半導體裝置之製造方法及基板處理裝置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4009603A1 (de) * 1989-03-30 1990-10-04 Leybold Ag Vorrichtung zum ein- und ausschleusen eines werkstuecks in eine vakuumkammer
US5795399A (en) * 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
EP1259544B1 (en) * 2000-02-11 2011-08-24 Biogen Idec MA Inc. Heterologous polypeptide of the tnf family
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
JP4683453B2 (ja) * 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4595727B2 (ja) * 2005-07-22 2010-12-08 ソニー株式会社 外力推定システム及び外力推定方法、並びにコンピュータ・プログラム
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
IT1396514B1 (it) * 2009-11-27 2012-12-14 Nuovo Pignone Spa Metodo di controllo di turbina basato su rapporto tra temperatura di scarico e pressione di turbina
US9076829B2 (en) * 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010007630A1 (en) * 2000-01-12 2001-07-12 Yoshihiro Katsumata Substrate transfer system and substrate processing apparatus
CN1909182A (zh) * 2005-08-05 2007-02-07 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN101075551A (zh) * 2006-05-17 2007-11-21 台湾积体电路制造股份有限公司 制程设备组
KR100839191B1 (ko) * 2007-03-28 2008-06-17 세메스 주식회사 기판 처리 장치 및 방법
TW201330138A (zh) * 2011-09-26 2013-07-16 Hitachi Int Electric Inc 半導體裝置之製造方法及基板處理裝置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107924862A (zh) * 2015-09-03 2018-04-17 川崎重工业株式会社 基板移载装置
CN107924862B (zh) * 2015-09-03 2021-09-21 川崎重工业株式会社 基板移载装置
CN109963820A (zh) * 2016-11-16 2019-07-02 日本电气硝子株式会社 玻璃基板的制造装置及制造方法
CN109963820B (zh) * 2016-11-16 2021-12-31 日本电气硝子株式会社 玻璃基板的制造装置及制造方法

Also Published As

Publication number Publication date
KR20150101786A (ko) 2015-09-04
US20150243490A1 (en) 2015-08-27
CN104882395B (zh) 2018-06-12
TWI587425B (zh) 2017-06-11
TW201535571A (zh) 2015-09-16
JP6062975B2 (ja) 2017-01-18
JP2015162677A (ja) 2015-09-07
KR102135740B1 (ko) 2020-07-20

Similar Documents

Publication Publication Date Title
CN104882395A (zh) 基板处理装置及基板处理方法
US7628574B2 (en) Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8545160B2 (en) Substrate transfer apparatus and substrate transfer method
CN104752275A (zh) 工艺腔室以及半导体加工设备
US8814489B2 (en) Substrate processing system and substrate processing method
US20170114456A1 (en) Apparatus and method for treating a substrate
CN103283014A (zh) 薄膜蒸镀装置以及包含此的基板处理系统
US20230374663A1 (en) Apparatus and method for processing substrate
KR102125512B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20180282864A1 (en) Apparatus and method of turning over a substrate in a substrate processing system
US20190233224A1 (en) Vacuum processing apparatus
CN111354657A (zh) 半导体多站处理腔体
CN108122809B (zh) 基板处理系统
KR101321331B1 (ko) 태양전지용 박막 증착 시스템
WO2017209881A1 (en) Dodecadon transfer chamber and processing system having the same
KR20070109298A (ko) 반도체 제조 장치 및 이를 이용한 반도체 기판의 이송 방법
KR20140140462A (ko) 원자층 증착 장치
JP2000144430A (ja) 真空処理装置及びマルチチャンバ型真空処理装置
US20240063051A1 (en) Substrate support unit, and apparatus and method for depositing a layer using the same
KR20110016639A (ko) 기판처리장치
KR100920420B1 (ko) 평판표시소자 제조장치
KR20110072129A (ko) 기판처리장치
KR100905394B1 (ko) 평판표시소자 제조장치
KR100920419B1 (ko) 평판표시소자 제조장치
KR100940303B1 (ko) 평판표시소자 제조장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
CB02 Change of applicant information

Address after: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Applicant after: Lap Yi Cmi Holdings Ltd.

Address before: Gyeonggi Do, South Korea

Applicant before: WONIK IPS Co.,Ltd.

COR Change of bibliographic data
TA01 Transfer of patent application right

Effective date of registration: 20160726

Address after: South Korea Gyeonggi Do Ping Ze Zhenwei Zhenwei group produced 75 road surface

Applicant after: WONIK IPS Co.,Ltd.

Address before: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Applicant before: Lap Yi Cmi Holdings Ltd.

GR01 Patent grant
GR01 Patent grant