CN104471015B - 用于氮化硅材料的选择性抛光的组合物及方法 - Google Patents

用于氮化硅材料的选择性抛光的组合物及方法 Download PDF

Info

Publication number
CN104471015B
CN104471015B CN201380037110.9A CN201380037110A CN104471015B CN 104471015 B CN104471015 B CN 104471015B CN 201380037110 A CN201380037110 A CN 201380037110A CN 104471015 B CN104471015 B CN 104471015B
Authority
CN
China
Prior art keywords
composition
polymer
polishing
base material
poly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380037110.9A
Other languages
English (en)
Other versions
CN104471015A (zh
Inventor
W.沃德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials LLC
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Priority to CN201810818481.5A priority Critical patent/CN108822737B/zh
Publication of CN104471015A publication Critical patent/CN104471015A/zh
Application granted granted Critical
Publication of CN104471015B publication Critical patent/CN104471015B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供一种酸性含水抛光组合物,其适用于在化学机械抛光(CMP)过程中抛光含有氮化硅的基材。该组合物在使用点处优选包含0.01至2重量%的至少一种粒状二氧化铈研磨剂、10至1000ppm的至少一种非聚合物型的不饱和氮杂环化合物、0至1000ppm的至少一种阳离子型聚合物、任选地0至2000ppm的至少一种聚氧化烯聚合物、以及为此的含水载体。该阳离子型聚合物优选选自聚(乙烯基吡啶)聚合物、经季铵取代的丙烯酸酯聚合物、经季铵取代的甲基丙烯酸酯聚合物、或其组合。还提供了使用该组合物的抛光基材的方法以及从基材优先于多晶硅的移除而选择性地移除氮化硅的方法。

Description

用于氮化硅材料的选择性抛光的组合物及方法
技术领域
本发明涉及抛光组合物及方法。更具体地,本发明涉及用于抛光含有氮化硅的基材的方法以及为此的组合物。
背景技术
用于集成电路的半导体晶片典型地包括其上已形成多个晶体管的基材例如硅或砷化镓。通过将基材中的区域和基材上的层图案化,晶体管化学地和物理地连接至基材。晶体管和层通过主要由某些形式的硅氧化物(SiO2)组成的层间电介质(ILD)分隔。晶体管通过使用公知的多级互连而互相连接。典型的多级互连由堆叠的薄膜组成,所述薄膜由以下材料中的一种或多种构成:钛(Ti)、氮化钛(TiN)、钽(Ta)、铝-铜(Al-Cu)、铝-硅(Al-Si)、铜(Cu)、钨(W)、掺杂的多晶硅(poly-Si)、以及它们的各种组合。此外,晶体管或晶体管组常常通过使用填充有绝缘材料例如二氧化硅、氮化硅和/或多晶硅的沟槽而彼此隔离。在半导体制造期间,必须移除各种前述材料的层,以便在晶片上形成电路的各种组件,这典型地通过化学机械抛光(CMP)实现。
用于基材表面的CMP的组合物和方法是本领域中公知的。用于半导体基材表面的CMP(例如,用于集成电路制造)的抛光组合物(也称作抛光浆料、CMP浆料和CMP组合物)典型地含有研磨剂、各种添加剂化合物等。
在常规的CMP技术中,在CMP装置中,将基材夹持器(carrier)或抛光头安装在夹持器组件上,且将其定位成与抛光垫接触。该夹持器组件提供对基材的可控制的压力,迫使基材抵靠着抛光垫。使该垫与具有附着的基材的夹持器相对彼此移动。该垫与基材的相对移动用于研磨该基材的表面以从该基材表面移除一部分材料,由此抛光该基材。典型地进一步通过抛光组合物的化学活性(例如,通过存在于CMP组合物中的氧化剂、酸、碱或其它添加剂)和/或悬浮于抛光组合物中的研磨剂的机械活性来协助基材表面的抛光。典型的研磨剂材料包括二氧化硅、二氧化铈、氧化铝、氧化锆和氧化锡。
通常,CMP涉及表面的同时发生的化学研磨和机械研磨,例如,上覆第一层的研磨以暴露其上形成有该第一层的不在同一平面上的第二层的表面。一种这样的方法描述于Beyer等人的美国专利No.4,789,648中。简言之,Beyer等人公开了使用抛光垫和浆料以比第二层快的速率移除第一层直到材料的上覆第一层的表面变成与被覆盖的第二层的上表面共面的CMP方法。化学机械抛光的更详细的说明参见美国专利No.4,671,851、No.4,910,155和No.4,944,836。
例如,Neville等人的美国专利No.5,527,423描述了通过使金属层的表面与包含悬浮于含水介质中的高纯度金属氧化物细粒的抛光浆料接触来化学机械抛光金属层的方法。或者,可将研磨剂材料引入到抛光垫中。Cook等人的美国专利No.5,489,233公开了具有表面纹理或图案的抛光垫的用途,且Bruxvoort等人的美国专利No.5,958,794公开了固定研磨剂抛光垫。
尽管已知的CMP浆料组合物典型地适用于有限的目的,但是许多常规组合物对于晶片制造中所用的绝缘体材料的移除呈现出不可接受的抛光速率和相应的不可接受的选择性。此外,许多已知的抛光浆料产生对下伏膜的差的膜移除特性,或者产生有害的膜腐蚀,其导致差的制造成品率(yield)。
随着用于集成电路器件的技术的进步,传统的材料正以新的且不同的方式使用以实现高级集成电路所需要的性能水平。特别地,氮化硅、氧化硅和多晶硅正以各种组合使用以实现新的且比以往更为复杂的器件配置。通常,结构复杂性和性能特性因不同的应用而变化。仍需要在CMP过程中容许调节或调整氮化硅、氧化硅和多晶硅材料的移除速率以符合对于特定IC器件的抛光要求的方法和组合物。
例如,对于许多IC器件应用,持续地需要实现快速的氮化硅移除速率。传统的抛光浆料是设计用于“在氮化硅上停止”的应用,例如在浅沟槽隔离(STI)中。典型的STI浆料在高的pH值及高的研磨剂浓度下使用硅石研磨剂,以实现合理的氮化硅移除速率。高的研磨剂颗粒浓度的使用与经过抛光的器件中的高的刮擦缺陷程度有关。
仍需要开发提供相对高速率的氮化硅移除且用于优先于多晶硅而选择性地移除氮化硅的新的抛光方法和组合物。本发明解决了这些持续的需要。本发明的这些和其它优点以及额外的发明特征将从本文中所提供的本发明的描述明晰。
发明内容
本发明提供一种酸性含水抛光组合物(例如,pH值为2至6),其适用于在化学机械抛光(CMP)过程中从基材表面移除氮化硅。该组合物包含以下物质、基本上由以下物质组成、或由以下物质组成:至少一种粒状二氧化铈研磨剂;至少一种非聚合物型的不饱和氮杂环(UNH)化合物;任选地至少一种阳离子型聚合物;任选地聚氧化烯聚合物(polyoxyalkylene polymer);以及含水载体。该阳离子型聚合物优选选自聚(乙烯基吡啶)聚合物、经季铵取代的丙烯酸酯聚合物、经季铵取代的甲基丙烯酸酯聚合物、或者这样的阳离子型聚合物中的两种或更多种的组合。更优选地,该阳离子型聚合物包含经季铵取代的聚合物、或者经季铵取代的聚合物与聚(乙烯基吡啶)聚合物(例如聚(4-乙烯基吡啶))的组合。该UNH化合物为包括由排列成5或6元环的碳和氮原子构成的杂环结构的有机化合物,其中所述环包括在两个碳原子之间、两个氮原子之间、或碳原子与氮原子之间的至少一个双键。优选地,该杂环为杂芳基,例如吡啶基(包括具有经烷基化的氮的吡啶化合物,即吡啶(pyridinium)基团)、吡唑基、吡嗪基、哒嗪基等。UNH化合物的一个优选种类为吡啶化合物。
在一个优选实施方案中,CMP组合物包含以下物质、基本上由以下物质组成、或由以下物质组成:(a)0.01至10重量%(wt%)的至少一种粒状二氧化铈研磨剂(例如经煅烧的二氧化铈);(b)10至100,000百万分率(ppm)的至少一种非聚合物型UNH化合物(例如4,4’-三亚甲基二吡啶(4,4’-trimethylenedipyridine));(c)0至100,000ppm的至少一种阳离子型聚合物;(d)0至200,000ppm的至少一种聚氧化烯聚合物;以及(e)为此的含水载体。
在另一优选实施方案中,CMP组合物的pH值为2至6,且包含以下物质、基本上由以下物质组成、或由以下物质组成:(a)0.05至5重量%的至少一种粒状二氧化铈研磨剂;(b)10至10,000ppm的4,4’-三亚甲基二吡啶;(c)10至10,000ppm的至少一种选自以下的阳离子型聚合物:聚(乙烯基吡啶)聚合物、经季铵取代的丙烯酸酯聚合物、经季铵取代的甲基丙烯酸酯聚合物、以及任意前述阳离子型聚合物的组合;(d)200至20,000ppm的至少一种聚氧化烯聚合物,其选自聚(乙二醇)聚合物、聚(乙二醇)-共-聚(丙二醇)嵌段共聚物、及其组合;以及(e)为此的含水载体。
在一些优选实施方案中,该聚氧化烯聚合物包含以下物质、基本上由以下物质组成、或由以下物质组成:具有200至2000乙二醇单体单元平均数量(更优选300至1500个单体单元)的聚(乙二醇)聚合物(即PEG)。在其它优选实施方案中,该聚氧化烯聚合物包含聚(乙二醇)-共-聚(丙二醇)嵌段共聚物,例如经聚(乙二醇)封端的聚(丙二醇),即PEG-PPG-PEG嵌段共聚物。
在另一方面中,本发明提供一种化学机械抛光方法,其用于使用本文所述的CMP组合物抛光含有氮化硅的基材,以便优先于多晶硅而选择性地移除氮化硅。优选的方法包括以下步骤、基本上由以下步骤组成、或由以下步骤组成:将含有氮化硅的基材的表面与抛光垫及含水抛光组合物接触;以及在保持该抛光组合物的一部分与该抛光垫和该基材之间的表面接触的同时使该抛光垫和该基材之间相对运动一段足以磨除该基材表面的至少一部分的时间。该抛光组合物在使用点(point of use)处包含0.01至2重量%的二氧化铈研磨剂、10至1000ppm的非聚合物型UNH化合物、0至1000ppm的阳离子型聚合物和0至2000ppm的聚氧化烯聚合物、以及含水载体,如本文所述的。
本发明的组合物提供如下优点:高的氮化硅移除速率、低的多晶硅移除速率、以及可从低至高地调节的氧化硅移除速率。
附图说明
图1提供了通过以所选择的本发明组合物抛光毯覆式晶片而获得的对于氧化硅(氧化物)、多晶硅(PolySi)和氮化硅(氮化物)的移除速率的图。
具体实施方式
本发明提供了用于抛光含有氮化硅的表面的组合物及方法。在优选实施方案中,本发明的组合物包含酸性含水载体,其含有0.01至10重量%的至少一种粒状二氧化铈研磨剂、10至100,000ppm的至少一种非聚合物型UNH化合物、0至100,000ppm的至少一种阳离子型聚合物(优选至少10ppm)、以及0至200,000ppm的至少一种聚氧化烯聚合物(优选至少10ppm)。
该粒状二氧化铈研磨剂可以0.01至10重量%的浓度存在于该抛光组合物中。优选地,该二氧化铈以0.05至5重量%的浓度存在于CMP组合物中。在使用点处,该二氧化铈研磨剂优选以0.01至2重量%、更优选0.05至0.5重量%(例如0.1至0.3重量%)的浓度存在。该研磨剂颗粒的平均粒度优选为30纳米至200纳米、更优选75纳米至125纳米,如通过本领域公知的激光光散射技术测得的。优选地,该二氧化铈包含经煅烧的二氧化铈、基本上由经煅烧的二氧化铈构成、或由经煅烧的二氧化铈构成。任选地,该二氧化铈可包含水合二氧化铈、基本上由水合二氧化铈构成、或由水合二氧化铈构成。
该粒状研磨剂合乎需要地悬浮于该抛光组合物中,更具体地悬浮于该抛光组合物的含水载体组分中。当该研磨剂悬浮于该抛光组合物中时,其优选为胶体稳定的。术语“胶体”是指研磨剂颗粒在该液体载体中的悬浮液。“胶体稳定性”是指该悬浮液随时间的保持性。在本发明的上下文中,如果当将二氧化铈悬浮液置于100毫升量筒中并使其无搅动地静置2小时时,量筒底部50毫升中的颗粒浓度([B],以克/毫升表示)与量筒顶部50毫升中的颗粒浓度([T],以克/毫升表示)之间的差除以研磨剂组合物的总颗粒浓度([C],以克/毫升表示)小于或等于0.5(即,([B]-[T])/[C]≤0.5),则认为二氧化铈悬浮液是胶体稳定的。([B]-[T])/[C]的值合乎需要地小于或等于0.3、且优选小于或等于0.1。
如本文及所附权利要求书中所用的,术语“经煅烧的二氧化铈”意指通过加热(煅烧)水合铈氧化物或者可分解的前体盐或者诸如碳酸铈、氢氧化铈等的盐的混合物而形成的铈(IV)氧化物材料。在水合铈氧化物的情况中,该材料在足以从该铈氧化物材料除去水合水的温度下(例如,在600℃或更高的温度下)被加热。在前体盐的情况中,所述盐在高于前体的分解温度的温度下(例如600℃或更高)加热以形成CeO2(二氧化铈)并同时驱除可能存在或形成的任何水分。如果期望的话,二氧化铈可包括适量的稳定化掺杂材料例如La和Nd,如本领域中所知晓的。典型地,经煅烧的二氧化铈颗粒是高度结晶的。制备经煅烧的二氧化铈的方法是本领域所公知的。在所述组合物中的研磨剂浓度为0.01至10重量%(wt%)、优选0.05至5重量%。
优选地,所述组合物中的研磨剂浓度在本方法中的使用点处为0.01至2重量%、更优选0.05至0.5重量%。所述组合物中的至少一种阳离子型聚合物的浓度为0(优选10ppm)至100,000ppm、更优选10ppm至10,000ppm。在使用点处,所述组合物优选包含0(优选10ppm)至1000ppm的至少一种阳离子型聚合物,更优选地,对于所存在的每种阳离子型聚合物为10ppm至100ppm。非聚合物型UNH化合物的浓度为10至100,000ppm、优选10至1000ppm。优选地,所述组合物在使用点处包含10至300ppm的非聚合物型UNH化合物、更优选10至100ppm。在优选实施方案中,聚氧化烯聚合物以10至200,000ppm、更优选200至100,000ppm的浓度存在于所述组合物中。在使用点处,所述组合物优选包含10ppm至2000ppm的聚氧化烯聚合物、更优选200ppm至1000ppm。
本发明组合物是酸性的,且pH值优选为2至6、更优选3至5。可通过包含包括酸性组分的缓冲物质来实现和/或保持组合物的酸度,该酸性组分可为任何无机或有机酸。在一些优选实施方案中,该酸性组分可为无机酸、羧酸、有机膦酸、酸性杂环化合物、它们的盐、或者前述中的两种或更多种的组合。合适的无机酸的非限制性实例包括盐酸、硫酸、磷酸、亚磷酸、焦磷酸、亚硫酸、和四硼酸、或者它们的任何酸式盐。合适的羧酸的非限制性实例包括一元羧酸(例如,乙酸、苯甲酸、苯乙酸、1-萘甲酸、2-萘甲酸、羟基乙酸、甲酸、乳酸、苦杏仁酸等)、及多元羧酸(例如,草酸、丙二酸、丁二酸、己二酸、酒石酸、柠檬酸、顺丁烯二酸、反式丁烯二酸、天冬氨酸、谷氨酸、邻苯二甲酸、间苯二甲酸、对苯二甲酸、1,2,3,4-丁烷四羧酸、衣康酸等)、或者它们的任何酸式盐。合适的有机膦酸的非限制性实例包括膦酰基乙酸、亚氨基二(甲基膦酸)、商标名2000LC的氨基-三(亚甲基膦酸)、以及商标名2010的羟基亚乙基-1,1-二膦酸(这两者均可购自Solutia)、或者它们的任何酸式盐。合适的酸性杂环化合物的非限制性实例包括尿酸、抗坏血酸等、或者它们的任何酸式盐。
在一些实施方案中,阳离子型聚合物组分包含以下物质、基本上由以下物质组成、或由以下物质组成:聚(乙烯基吡啶)聚合物,例如聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶)、乙烯基吡啶共聚物等。可用于本发明组合物及方法中的乙烯基吡啶共聚物包括包含至少一种乙烯基吡啶单体(例如,2-乙烯基吡啶、4-乙烯基吡啶、或者这两者)以及至少一种选自非离子型单体及阳离子型单体的共聚单体的共聚物。非离子型共聚单体的非限制性实例包括丙烯酰胺、甲基丙烯酰胺、N-乙烯基吡咯烷酮、及苯乙烯。阳离子型共聚单体的非限制性实例包括二烯丙基胺、二甲基二烯丙基胺、2-乙烯基-N-甲基卤化(例如氯化)吡啶、4-乙烯基-N-甲基卤化(例如氯化)吡啶、2-(二乙基氨基乙基)苯乙烯、2-(N,N-二乙基氨基乙基)丙烯酸酯、2-(N,N-二乙基氨基乙基)甲基丙烯酸酯、N-(2-(N,N-二乙基氨基乙基))甲基丙烯酰胺、N-(2-(N,N-二乙基氨基丙基))甲基丙烯酰胺、任意前述物质的盐(例如,盐酸盐)、任意前述物质的N-季铵化衍生物(N-quaternized derivative)(例如,N-甲基季铵化衍生物)等。此外,可在所述共聚物中包含相对小比例的阴离子型单体(例如,丙烯酸、甲基丙烯酸、苯乙烯磺酸、2-丙烯酰氨基-2-甲基丙烷磺酸(AMPS)等),只要阴离子型单体对阳离子型单体的比例使得共聚物保有总的阳离子电荷(overall cationic charge)。
在一些其它实施方案中,阳离子型聚合物组分包含经季铵取代的聚合物、基本上由经季铵取代的聚合物组成、或由经季铵取代的聚合物组成,所述经季铵取代的聚合物例如为经季铵取代的丙烯酸酯或甲基丙烯酸酯聚合物。这样的经季铵取代的丙烯酸酯或甲基丙烯酸酯聚合物的非限制性实例包括聚(甲基丙烯酰氧基乙基三甲基卤化铵)聚合物、聚(丙烯酰氧基乙基三甲基卤化铵)聚合物、聚(甲基丙烯酰氧基乙基二甲基苄基卤化铵)聚合物、聚(丙烯酰氧基乙基二甲基苄基卤化铵)聚合物等。优选地,季铵基团的卤根反离子为氯离子。
所述阳离子型聚合物可具有任何合适的分子量。典型地,抛光组合物包含重均分子量为5kDa或更高(例如10kDa或更高、20kDa或更高、30kDa或更高、40kDa或更高、50kDa或更高、或60kDa或更高)的阳离子型聚合物。抛光组合物优选包含分子量为100kDa或更低(例如80kDa或更低、70kDa或更低、60kDa或更低、或50kDa或更低)的阳离子型聚合物。优选地,抛光组合物包含分子量为5kDa至100kDa(例如10kDa至80kDa、10kDa至70kDa、或15kDa至70kDa)的阳离子型聚合物。
聚氧化烯组分,也称作聚(亚烷基二醇),可为包含多个氧化烯单体单元(即,亚烷基二醇单体单元,例如乙二醇、丙二醇、丁二醇等)的均聚物或共聚物(例如嵌段或无规共聚物)。例如,聚氧化烯聚合物可为聚(乙二醇)聚合物、聚(乙二醇)-共-聚(丙二醇)共聚物(EO/PO嵌段共聚物)等。聚氧化烯聚合物优选包含平均20至2000个单体单元(例如乙二醇、丙二醇等),更优选200至2000个单体单元(例如300至1500个单体单元)。这样的聚合物在本领域中通常以聚合物种类及其平均单体单元数量描述,例如,缩写为PEG-300的聚(乙二醇)-300意指平均具有300个乙二醇(CH2CH2O)单元的聚(乙二醇)聚合物,因此其数均分子量为300×44=13200道尔顿。
在一个优选实施方案中,聚氧化烯聚合物为聚氧乙烯聚合物,即聚(乙二醇)聚合物。在其它优选实施方案中,聚氧化烯聚合物包含聚(乙二醇)-共-聚(丙二醇)共聚物嵌段共聚物,例如,PEG-PPG-PEG嵌段共聚物,诸如来自BASF的PLURONIC L31,据报道,其数均分子量为约1100至1200,且包括具有平均16个丙二醇单元的PPG核心,其每一端由平均2个乙二醇单体单元封端。
非聚合物型的不饱和氮杂环化合物可为包括由排列成5或6元环的碳和氮原子构成的杂环结构的任何有机化合物,其中所述环包括在两个碳之间、两个氮之间、或者碳与氮之间的至少一个双键。这样的非聚合物型的不饱和氮杂环化合物的非限制性实例包括吡啶化合物(例如,吡啶、1,3-双(4-吡啶基)丙烷、1,2-苯基-1,3-二(4-吡啶基)-2-丙醇、1,2-双(4-吡啶基)乙烷、1,2-双(4-吡啶基)-1,2-乙二醇等)、吡啶化合物(pyridiniumcompound)(例如,吡啶盐(例如卤化物盐),诸如1-乙基氯化吡啶、1-苯甲基溴化吡啶、2-氯-1-甲基碘化吡啶、4-甲基-1-丙基碘化吡啶、1-乙基-4-(甲氧羰基)碘化吡啶、1,4-二甲基碘化吡啶、百草枯二氯化物(paraquat dichloride)、1-(4-吡啶基)氯化吡啶、乙基紫精二碘化物(ethyl viologen diiodide)、对-二甲苯-双(N-溴化吡啶)、1,1’-四亚甲基双(氯化吡啶)等)、吡唑化合物、吡嗪化合物、和哒嗪化合物。在一些优选实施方案中,非聚合物型UNH化合物包含以下物质、基本上由以下物质组成、或由以下物质组成:吡啶化合物,特别是4,4’-三亚甲基二吡啶(又称作1,3-双(4-吡啶基)丙烷)。
本发明组合物及方法提供了有用的氮化硅移除速率、以及氮化硅移除相对于多晶硅移除的选择性。本发明的组合物还可设计成主要通过利用不同浓度的阳离子型聚合物、非聚合物型UNH化合物和EO/PO嵌段共聚物来提供不同的氧化硅移除速率。在一些特别优选的实施方案中,当使用D100抛光垫在桌上型(table-top)CMP抛光机上以3磅/平方英寸(psi)的下压力、100转/分钟(rpm)的压板速度、101rpm的夹持器速度和150毫升/分钟(mL/min)的抛光浆料流速分别抛光氮化硅或多晶硅毯覆式晶片时,氮化硅移除速率为700埃/分钟或更高,且多晶硅移除低于150埃/分钟(典型地低于100埃/分钟)。在相同条件下的氧化硅移除速率典型地为100埃/分钟至2000埃/分钟,这取决于聚(乙烯基吡啶)和/或非聚合物型UNH化合物的浓度、以及是否存在EO/PO嵌段共聚物(例如L31(BASF))。阳离子型聚合物和非聚合物型UNH化合物的较低浓度典型地导致中等的氧化硅移除速率,而随着阳离子型聚合物和非聚合物型UNH化合物的量的增加,氧化硅移除速率趋于更高。EO/PO嵌段共聚物的存在(例如,500ppm的L31)趋于在3至5的pH值下提供低的氧化硅移除速率。
本发明的抛光组合物可任选地包括一种或多种氧化剂(例如用以氧化半导体表面的组分,例如金属组分)。适合用于本发明抛光组合物及方法的氧化剂包括但不限于过氧化氢、过硫酸盐(例如单过硫酸铵、二过硫酸铵、单过硫酸钾、及二过硫酸钾)、高碘酸盐(例如高碘酸钾)、它们的盐、以及前述物质中的两种或更多种的组合。优选地,氧化剂以足以氧化半导体晶片中所存在的一种或多种选定的金属或半导体材料的量存在于组合物中,如半导体CMP领域中所公知的。
本发明抛光组合物还可任选地包括合适量的通常包括于抛光组合物中的一种或多种其它添加剂材料,例如金属络合剂、腐蚀抑制剂、粘度调节剂、杀生物剂等。
在优选实施方案中,抛光组合物进一步包含杀生物量的杀生物剂(例如异噻唑啉酮组合物,例如可从Rohm and Haas购得的杀生物剂)。
所述含水载体可为任何含水溶剂,例如水、含水甲醇、含水乙醇、它们的组合等。优选地,所述含水载体主要包含去离子水。
本发明抛光组合物可通过任何合适的技术制备,其中的许多是本领域技术人员已知的。抛光组合物可以间歇或连续工艺制备。通常,该抛光组合物可通过以任意次序组合其各组分而制备。本文所使用的术语“组分”包括单独成分(例如,二氧化铈、酸、UNH化合物、聚合物、缓冲剂、氧化剂等)以及各成分的任意组合。例如,可将二氧化铈研磨剂分散于水中,与聚合物组分组合,且通过任何能够将各组分并入到抛光组合物中的方法进行混合。典型地,当使用氧化剂时,在组合物准备用于CMP过程之前,不向抛光组合物中加入氧化剂,例如,氧化剂可刚好在抛光开始前加入。根据需要,可在任何合适的时间通过酸或碱的加入来进一步调节pH值。
本发明抛光组合物还可提供作为浓缩物,该浓缩物用于在使用之前以适量的含水溶剂(例如水)进行稀释。在这样的实施方案中,抛光组合物浓缩物可包括以这样的量分散或溶解于含水溶剂中的各种组分,所述量使得在以适量的含水溶剂稀释该浓缩物时,该抛光组合物的各组分会以在对于使用适合的范围内的量存在于该抛光组合物中。
本发明还提供一种化学机械抛光氮化硅基材的方法,例如,用于氮化硅相对于多晶硅移除的选择性移除。该方法包括:(i)将含有氮化硅的基材的表面与抛光垫以及如本文所述的本发明抛光组合物接触,以及(ii)使该抛光垫及该基材的表面相对彼此移动,同时保持该抛光组合物的至少一部分位于该垫和该表面之间,由此磨除该表面的至少一部分以抛光该基材。
本发明抛光组合物可用于抛光任何适合的基材,且特别有益于抛光包含氮化硅的基材,以及包含氮化硅及多晶硅和/或氧化硅的基材。本发明组合物提供了在低至足以避免过度刮擦缺陷的研磨剂含量下的相对高的氮化硅移除速率。具体地说,可改变CMP组合物的配方及pH值来改变氮化硅移除速率。此外,氮化硅移除的相对速率大于用于移除多晶硅和氧化硅的速率。该选择性在用于抛光具有相对窄的氧化物线宽的现代半导体材料中是额外的优点。
本发明的抛光组合物特别适于与化学机械抛光装置结合使用。典型地,该CMP装置包括:压板,其在使用时处于运动中并且具有由轨道、线性和/或圆周运动所产生的速度;抛光垫,其与该压板接触且在运动时相对于该压板移动;以及夹持器,其固持与该抛光垫的表面接触且相对于该抛光垫的表面移动的待抛光的基材。该基材的抛光通过如下发生:将该基材放置成与该抛光垫和本发明的抛光组合物接触且随后使该抛光垫相对于该基材移动以磨除该基材的至少一部分以抛光该基材。
可使用任何合适的抛光表面(例如,抛光垫)以本发明的抛光组合物对基材进行平坦化或抛光。合适的抛光垫包括,例如,编织及非编织抛光垫、有槽或无槽垫、多孔或无孔垫等。此外,合适的抛光垫可包含具有不同密度、硬度、厚度、压缩性、压缩时的回弹能力和压缩模量的任何合适的聚合物。合适的聚合物包括,例如,聚氯乙烯、聚氟乙烯、尼龙、碳氟化合物、聚碳酸酯、聚酯、聚丙烯酸酯、聚醚、聚乙烯、聚酰胺、聚氨酯、聚苯乙烯、聚丙烯、其共形成(coformed)产物、及其混合物。
合乎需要地,该CMP装置进一步包括原位抛光终点检测系统,其中的许多是本领域中已知的。通过分析从工件表面反射的光或其它辐射来检查和监控抛光过程的技术是本领域中已知的。这样的方法描述于例如Sandhu等人的美国专利No.5,196,353、Lustig等人的美国专利No.5,433,651、Tang的美国专利No.5,949,927和Birang等人的美国专利No.5,964,643中。合乎需要地,对于正被抛光的工件的抛光过程的进展的检查或监控使得能够确定抛光终点,即,确定何时终止对特定工件的抛光过程。
以下实施例进一步说明本发明的一些方面,但当然不应解释为以任何方式限制其范围。如本文以及下列实施例和权利要求书中所用的,以百万分率(ppm)表示的浓度是基于所关注的有效组分的重量除以组合物的重量(例如,以毫克组分/1公斤组合物表示)。
实施例1
本实施例描述了阳离子型聚合物及非聚合物型UNH化合物对于移除氮化硅、多晶硅和氧化硅的影响。
在具有D100抛光垫的Mirra 200毫米CMP装置上,使用抛光组合物来分别化学机械抛光氮化硅、氧化硅和多晶硅毯覆式晶片。每种抛光组合物均包含0.2重量%的经煅烧的二氧化铈(Advanced Nano Products Co.,Ltd.,“ANP”,平均粒度为100纳米)的含水浆料(在去离子水中,pH值为4)。CMP组合物的其它组分示于表1中,其中“Quat”代表来自AlcoChemical的聚(甲基丙烯酰氧基乙基三甲基氯化铵)(Alco 4773);p(4-PV)代表聚(4-乙烯基吡啶);TMDP代表4,4’-三亚甲基二吡啶;且PEG 1450代表数均分子量为1450道尔顿的聚(乙二醇)。
表1
每种组合物被单独地在以下条件下用于抛光氮化硅、多晶硅、以及由等离子体增强的原硅酸四乙酯衍生的二氧化硅(PETEOS)的200毫米直径的毯覆式晶片:下压力(DF)为3psi、抛光头速度(HS)为101rpm、压板速度(PS)为100rpm、且浆料流速为150毫升/分钟。所观察到的对于氮化硅(氮化物)、多晶硅(PolySi)和PETEOS(氧化物)的移除速率(RR)以埃/分钟表示且示于表2和图1中。
表2
表2和图1中的结果表明,在特定的抛光条件下,包含经季铵取代的聚合物或聚(乙烯基吡啶)及经季铵取代的聚合物的组合的本发明组合物提供了非常良好的氮化硅移除速率(高于800埃/分钟)、低的多晶硅移除速率(低于110埃/分钟)、以及中等至高的氧化硅移除速率(371至1407埃/分钟)。含有TMDP的组合物具有相较于不含非聚合物型UNH化合物的组合物(754埃/分钟)的增强的氮化硅移除速率(894至908埃/分钟)。包含聚(4-乙烯基吡啶)但不含经季铵取代的聚合物的组合物提供低的氮化硅移除速率。
实施例2
在以下条件下,在具有垫的Mirra抛光机上实施缺少聚(4-乙烯基吡啶)的其它配制物的评价:下压力为3psi、抛光头速度(HS)为101rpm、压板速度(PS)为100rpm、且浆料流速为150毫升/分钟。每种测试组合物如实施例1中那样使用在去离子水中的经煅烧的二氧化铈制备,且pH值为4。配制物的各种组分的浓度示于表3中,同时,所观察到的对于氮化物、多晶硅和氧化物的抛光速率以埃/分钟表示且示于表4中。在表3和表4的每一个中,所用的缩写与实施例1中所用的那些相同。
表3
表4
*=两次独立运行的均值
如表4中的数据所示,所有组合物均展现高的氧化硅移除速率。相较于低于800埃/分钟的不含该季聚合物的对照组(2A、2E、2F及2H),包含该季聚合物的本发明组合物的移除速率均高于1000埃/分钟。所有本发明组合物还展现低于100埃/分钟的低的多晶硅移除速率。
实施例3
本实施例描述了,除了PEG 1450以外,在本发明CMP组合物中包含EP/PO嵌段共聚物的影响。评价是在具有D100垫的Mirra抛光机上在以下条件下实施的:下压力为3psi、抛光头速度(HS)为101rpm、压板速度(PS)为100rpm、且浆料流速为150毫升/分钟。每种测试组合物如实施例1中那样使用在去离子水中的经煅烧的二氧化铈制备,且pH值为4,除了实施例3K和3L具有2.3的pH值以外。配制物的各组分浓度示于表5中,同时,所观察到的对于氮化物、多晶硅和氧化物的移除速率以埃/分钟表示且示于表6中。在表5和表6的每一个中,L31代表L31表面活性剂(BASF),且其余缩写与实施例1中所用的那些相同。
表5
表6
表6中的结果表明,氮化硅相对于多晶硅的高程度的移除选择性。即使仅含0.1重量%的二氧化铈,氮化硅移除速率仍均高于1000埃/分钟。在pH4下,EO/PO嵌段共聚物的包含出人意料地进一步降低氧化硅移除速率,并提供更大的氮化硅对氧化硅的选择性,然而,在pH 2.3下(实施例3K和3L),氧化硅移除速率高于1300埃/分钟。

Claims (9)

1.化学机械抛光(CMP)组合物用于从基材的表面优先于多晶硅的移除而选择性地移除氮化硅的的用途,包括以下步骤:
(a)将含有氮化硅及多晶硅的基材的表面与抛光垫及酸性含水CMP组合物接触;以及
(b)在保持该CMP组合物的一部分与该抛光垫和该基材之间的表面接触的同时使该抛光垫和该基材之间相对运动一段足以从该表面磨除氮化硅的时间;
其中该CMP组合物包含:
(i)0.01至2重量%的至少一种粒状二氧化铈研磨剂;
(ii)10至1000ppm的至少一种非聚合物型的不饱和氮杂环化合物;
(iii)10至1000ppm的至少一种阳离子型聚合物;
(iv)200至2000ppm的聚氧化烯聚合物,其中该聚氧化烯聚合物包含聚(乙二醇)-共-聚(丙二醇)嵌段共聚物和聚(乙二醇);以及
(v)为此的含水载体,
其中,该组合物的pH值为2.3至4。
2.权利要求1的用途,其中该聚氧化烯聚合物包含含有300至1500的乙二醇单体单元平均数的聚(乙二醇)聚合物。
3.权利要求1的用途,其中该阳离子型聚合物包含经季铵取代的聚合物。
4.权利要求1的用途,其中该阳离子型聚合物包含聚(乙烯基吡啶)聚合物、经季铵取代的丙烯酸酯聚合物、经季铵取代的甲基丙烯酸酯聚合物、或任意前述阳离子型聚合物的组合。
5.权利要求1的用途,其中该非聚合物型的不饱和氮杂环化合物包含吡啶化合物。
6.权利要求1的用途,其中该非聚合物型的不饱和氮杂环化合物包含吡啶化合物。
7.权利要求1的用途,其中该非聚合物型的不饱和氮杂环化合物包含4,4’-三亚甲基二吡啶。
8.权利要求1的用途,其中该二氧化铈研磨剂包含经煅烧的二氧化铈。
9.权利要求1的用途,其中该基材的表面还包含二氧化硅。
CN201380037110.9A 2012-07-11 2013-07-09 用于氮化硅材料的选择性抛光的组合物及方法 Active CN104471015B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810818481.5A CN108822737B (zh) 2012-07-11 2013-07-09 用于氮化硅材料的选择性抛光的组合物及方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/546,552 2012-07-11
US13/546,552 US9633863B2 (en) 2012-07-11 2012-07-11 Compositions and methods for selective polishing of silicon nitride materials
PCT/US2013/049786 WO2014011678A1 (en) 2012-07-11 2013-07-09 Compositions and methods for selective polishing of silicon nitride materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810818481.5A Division CN108822737B (zh) 2012-07-11 2013-07-09 用于氮化硅材料的选择性抛光的组合物及方法

Publications (2)

Publication Number Publication Date
CN104471015A CN104471015A (zh) 2015-03-25
CN104471015B true CN104471015B (zh) 2018-08-17

Family

ID=49914333

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380037110.9A Active CN104471015B (zh) 2012-07-11 2013-07-09 用于氮化硅材料的选择性抛光的组合物及方法
CN201810818481.5A Active CN108822737B (zh) 2012-07-11 2013-07-09 用于氮化硅材料的选择性抛光的组合物及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201810818481.5A Active CN108822737B (zh) 2012-07-11 2013-07-09 用于氮化硅材料的选择性抛光的组合物及方法

Country Status (7)

Country Link
US (1) US9633863B2 (zh)
EP (1) EP2872585B1 (zh)
JP (1) JP6189952B2 (zh)
KR (1) KR102192003B1 (zh)
CN (2) CN104471015B (zh)
TW (1) TWI496855B (zh)
WO (1) WO2014011678A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9303187B2 (en) * 2013-07-22 2016-04-05 Cabot Microelectronics Corporation Compositions and methods for CMP of silicon oxide, silicon nitride, and polysilicon materials
CN111378416A (zh) * 2013-09-10 2020-07-07 日立化成株式会社 悬浮液、研磨液套剂、研磨液、基体的研磨方法以及基体
US9850402B2 (en) * 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
US9505952B2 (en) * 2015-03-05 2016-11-29 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive
US10414947B2 (en) * 2015-03-05 2019-09-17 Cabot Microelectronics Corporation Polishing composition containing ceria particles and method of use
US9758697B2 (en) * 2015-03-05 2017-09-12 Cabot Microelectronics Corporation Polishing composition containing cationic polymer additive
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9597768B1 (en) * 2015-09-09 2017-03-21 Cabot Microelectronics Corporation Selective nitride slurries with improved stability and improved polishing characteristics
US9771496B2 (en) * 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
KR102475282B1 (ko) * 2017-03-29 2022-12-07 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물
US10711158B2 (en) * 2017-09-28 2020-07-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of using them
KR102258296B1 (ko) * 2018-08-31 2021-06-01 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물
US10626298B1 (en) 2019-03-20 2020-04-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods for suppressing the removal rate of amorphous silicon

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1742066A (zh) * 2003-02-03 2006-03-01 卡伯特微电子公司 抛光含硅电介质的方法
CN101065458A (zh) * 2004-11-05 2007-10-31 卡伯特微电子公司 用于高的氮化硅对氧化硅去除速率比率的抛光组合物及方法
CN101747841A (zh) * 2008-12-05 2010-06-23 安集微电子(上海)有限公司 一种化学机械抛光液
CN102046743A (zh) * 2005-12-06 2011-05-04 卡伯特微电子公司 具有高的氮化硅对氧化硅移除速率比的抛光组合物及方法
CN102165564A (zh) * 2008-09-26 2011-08-24 罗地亚管理公司 用于化学机械抛光的磨料组合物及其使用方法
CN102473621A (zh) * 2009-12-10 2012-05-23 日立化成工业株式会社 Cmp研磨液、基板研磨方法和电子部件
KR20120077911A (ko) * 2010-12-31 2012-07-10 제일모직주식회사 질화규소를 선택적으로 연마하는 cmp 슬러리 조성물

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671851A (en) 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5527423A (en) 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5958794A (en) 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
DE60031857T2 (de) * 1999-06-18 2007-09-13 Hitachi Chemical Co., Ltd. Verwendung eines cmp schleifmittels
US6974777B2 (en) 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6936543B2 (en) 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US20060118760A1 (en) * 2004-12-03 2006-06-08 Yang Andy C Slurry composition and methods for chemical mechanical polishing
JP2006318952A (ja) * 2005-05-10 2006-11-24 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
KR101126124B1 (ko) * 2005-05-30 2012-03-30 주식회사 동진쎄미켐 연마 평탄도를 향상시킨 산화 세륨 슬러리 조성물
KR100725699B1 (ko) * 2005-09-02 2007-06-07 주식회사 엘지화학 일액형 cmp 슬러리용 산화 세륨 분말, 그 제조방법,이를 포함하는 일액형 cmp 슬러리 조성물, 및 상기슬러리를 사용하는 얕은 트랜치 소자 분리방법
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
JP2007214155A (ja) 2006-02-07 2007-08-23 Fujifilm Corp バリア用研磨液及び化学的機械的研磨方法
US20070209287A1 (en) 2006-03-13 2007-09-13 Cabot Microelectronics Corporation Composition and method to polish silicon nitride
JP5401766B2 (ja) * 2006-04-21 2014-01-29 日立化成株式会社 Cmp研磨剤及び基板の研磨方法
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
WO2008013226A1 (fr) 2006-07-28 2008-01-31 Showa Denko K.K. Composition de polissage
JP2008112990A (ja) * 2006-10-04 2008-05-15 Hitachi Chem Co Ltd 研磨剤及び基板の研磨方法
WO2008082177A1 (en) 2006-12-29 2008-07-10 Lg Chem, Ltd. Cmp slurry composition for forming metal wiring line
AU2008223787B2 (en) * 2007-03-08 2013-09-26 Adcuram Nutrition Holding Gmbh Process for the preparation of citric acid employing filamentous fungi in a culture medium comprising glycerol
JP5207002B2 (ja) * 2008-02-27 2013-06-12 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の再生方法
TW201000613A (en) * 2008-04-23 2010-01-01 Hitachi Chemical Co Ltd Polishing agent and method for polishing substrate using the same
WO2010038617A1 (ja) 2008-10-01 2010-04-08 旭硝子株式会社 研磨スラリー、その製造方法、研磨方法および磁気ディスク用ガラス基板の製造方法
KR101084676B1 (ko) 2008-12-03 2011-11-22 주식회사 엘지화학 1차 화학적 기계적 연마용 슬러리 조성물 및 화학적 기계적 연마 방법
JP5397386B2 (ja) * 2008-12-11 2014-01-22 日立化成株式会社 Cmp用研磨液及びこれを用いた研磨方法
KR101178714B1 (ko) * 2008-12-12 2012-08-31 제일모직주식회사 향상된 연마 선택비와 분산 안정성을 갖는 cmp 슬러리
KR101279968B1 (ko) 2008-12-31 2013-07-17 제일모직주식회사 Cmp 슬러리 조성물
JP5493526B2 (ja) * 2009-07-14 2014-05-14 日立化成株式会社 Cmp用研磨液及び研磨方法
WO2011081503A2 (en) * 2009-12-31 2011-07-07 Cheil Industries Inc. Chemical mechanical polishing slurry compositions and polishing method using the same
KR101206076B1 (ko) * 2010-12-30 2012-11-28 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 반도체 소자의 제조 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1742066A (zh) * 2003-02-03 2006-03-01 卡伯特微电子公司 抛光含硅电介质的方法
CN101065458A (zh) * 2004-11-05 2007-10-31 卡伯特微电子公司 用于高的氮化硅对氧化硅去除速率比率的抛光组合物及方法
CN102046743A (zh) * 2005-12-06 2011-05-04 卡伯特微电子公司 具有高的氮化硅对氧化硅移除速率比的抛光组合物及方法
CN102165564A (zh) * 2008-09-26 2011-08-24 罗地亚管理公司 用于化学机械抛光的磨料组合物及其使用方法
CN101747841A (zh) * 2008-12-05 2010-06-23 安集微电子(上海)有限公司 一种化学机械抛光液
CN102473621A (zh) * 2009-12-10 2012-05-23 日立化成工业株式会社 Cmp研磨液、基板研磨方法和电子部件
KR20120077911A (ko) * 2010-12-31 2012-07-10 제일모직주식회사 질화규소를 선택적으로 연마하는 cmp 슬러리 조성물

Also Published As

Publication number Publication date
EP2872585A4 (en) 2016-04-20
US9633863B2 (en) 2017-04-25
EP2872585B1 (en) 2020-09-09
JP2015528036A (ja) 2015-09-24
CN104471015A (zh) 2015-03-25
CN108822737B (zh) 2021-06-22
KR20150037814A (ko) 2015-04-08
EP2872585A1 (en) 2015-05-20
JP6189952B2 (ja) 2017-08-30
US20140017892A1 (en) 2014-01-16
CN108822737A (zh) 2018-11-16
TW201412908A (zh) 2014-04-01
KR102192003B1 (ko) 2020-12-16
TWI496855B (zh) 2015-08-21
WO2014011678A1 (en) 2014-01-16

Similar Documents

Publication Publication Date Title
CN104471015B (zh) 用于氮化硅材料的选择性抛光的组合物及方法
CN103492519B (zh) 用于选择性抛光氮化硅材料的组合物及方法
JP6196155B2 (ja) 水性研磨剤組成物、並びに電気、機械及び光学デバイス用の基板材料を研磨する方法
EP2125985B1 (en) Cmp slurry composition for forming metal wiring line
KR102253294B1 (ko) 산화규소, 질화규소 및 폴리실리콘 물질의 cmp를 위한 조성물 및 방법
KR102005132B1 (ko) 연마제, 연마제 세트 및 기체의 연마 방법
US8759216B2 (en) Compositions and methods for polishing silicon nitride materials
KR101084676B1 (ko) 1차 화학적 기계적 연마용 슬러리 조성물 및 화학적 기계적 연마 방법
JP2004533115A (ja) 界面活性剤を有する研磨用組成物
KR20090009285A (ko) 반도체 물질의 cmp를 위한 조성물 및 방법
KR20080067715A (ko) Cmp연마제
WO2008030576A1 (en) Onium-containing cmp compositions and methods of use thereof
KR20170030143A (ko) 구리 연마용 cmp 슬러리 조성물 및 이를 이용한 연마 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Illinois, USA

Patentee after: CMC Materials Co.,Ltd.

Address before: Illinois, USA

Patentee before: Cabot Microelectronics Corp.

CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Illinois, America

Patentee after: CMC Materials Co.,Ltd.

Address before: Illinois, America

Patentee before: CMC Materials Co.,Ltd.