CN104205299A - 用于控制基板均匀度的方法及设备 - Google Patents

用于控制基板均匀度的方法及设备 Download PDF

Info

Publication number
CN104205299A
CN104205299A CN201380018921.4A CN201380018921A CN104205299A CN 104205299 A CN104205299 A CN 104205299A CN 201380018921 A CN201380018921 A CN 201380018921A CN 104205299 A CN104205299 A CN 104205299A
Authority
CN
China
Prior art keywords
process kit
base ring
treatment chamber
ring
connector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380018921.4A
Other languages
English (en)
Inventor
S·M·R·萨德贾迪
D·卢博米尔斯基
H·诺巴卡施
Z·J·叶
D·H·考齐
S·S·康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104205299A publication Critical patent/CN104205299A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种动态可调式工艺套件、一种具有动态可调式工艺套件的处理腔室,以及一种使用动态可调式工艺套件来处理基板的方法。该动态可调式工艺套件使得工艺套件的电气状态及热状态的一者或两者,在不改变工艺套件的物理结构下,能被改变,是以,不需替换工艺套件而能够容易地改变等离子体性质及工艺结果。该具有动态可调式工艺套件的处理腔室包括腔体和工艺套件,该腔体包括导电侧壁部分,导电侧壁经配置为受电气控制。该处理腔室包括第一控制系统以及第二控制系统,第一控制系统可操作以控制工艺套件的电气状态及热状态的一者或两者,以及第二控制系统可操作以控制导电侧壁部分的电气状态。

Description

用于控制基板均匀度的方法及设备
背景
发明领域
本发明大致上关于用于半导体制造的方法及设备。具体地,本文中描述的具体实施例关于用于半导体基板的等离子体处理腔室及工艺套件。
相关技术描述
集成电路已发展成为,于单一芯片上可包括无数构件(如晶体管、电容器及电阻器)的复杂装置。芯片设计的演进持续需要更快速的电路及更大的电路密度。因应更大的电路密度的需求,需要缩小集成电路构件的尺寸。
五十多年来,形成于集成电路上的晶体管的数目约每隔两年会增加一倍。在当今设计的未来制造工艺中,形成于半导体芯片上的装置的临界尺寸将由目前的20-30nm缩小至0-5nm,此两年加倍的倾向(亦称为摩尔定律)仍将持续。装置几何缩小之际,制造几何成长。几年前,300mm晶圆取代了128mm晶圆,而300mm晶圆将在短时间内被450mm晶圆取代。由于大面积半导体基板的处理日趋复杂,针对逻辑芯片,可能实现更大的制造几何。
处理条件中的均匀度对半导体制造而言相当重要,并且由于装置的临界尺寸持续下降及制造几何持续增加,对于不均匀度的耐受度亦下降。不均匀度由数种原因造成,此等原因可能关于装置性质、设备特性,及制造过程的化学及物理。由于半导体制造工业依照摩尔定律发展,持续需要可提供非常均匀的处理的制造工艺及设备。
概要
本文所述的具体实施例提供一种动态可调式工艺套件、一种具有动态可调式工艺套件的处理腔室,以及一种使用动态可调式工艺套件来处理基板的方法。动态可调式工艺套件使得工艺套件的电气状态及热状态的一者或两者,在不改变工艺套件的物理结构下,能被改变。是以,不需替换工艺套件而能够容易地改变等离子体性质及工艺结果。
于一具体实施例中,提供用于等离子体处理腔室的工艺套件,该工艺套件包括顶环及底环,该底环经调适而以同心方式支持该顶环。该顶环及底环的内径经选择而环绕半导体晶圆。该底环具有连接器,该连接器经配置而将信号耦合至该底环以供外部控制该底环的热状态及电气状态的一者或两者。
于另一具体实施例中,提供具有动态可调式工艺套件的处理腔室。该处理腔室包括腔体,该腔体具有基板传送开口及内部容积。该腔体包括导电侧壁,该导电侧壁具有第一部分,该第一部分经配置而以独立于该导电侧壁的其余部分的方式受到电气控制。基板支持组件设置在该腔体的内部容积中,及该基板支持组件具有设置于其上的工艺套件。该工艺套件包括顶环及底环,该底环经调适而以同心方式支持该顶环。该顶环及底环的内径经选择而环绕半导体晶圆。该底环具有连接器,该连接器经配置而将信号耦合至该底环以供外部控制该底环的热状态及电气状态的一者或两者。
在又一具体实施例中,提供用于在处理腔室中处理基板的方法,该方法包括传送基板至该处理腔室中,该处理腔室具有工艺套件,该工艺套件设置于基板支持组件上。该工艺套件包括顶环及底环,该底环经调适而以同心方式支持该顶环。该顶环及底环的内径经选择而环绕半导体晶圆。该底环具有连接器,该连接器经配置而将信号耦合至该底环以供外部控制该底环的热状态及电气状态的一者或两者。该方法进一步包括:设定该工艺套件的电气状态及热状态的一者或两者;于该处理腔室内形成等离子体;以及在该等离子体存在下处理该基板。
附图简述
藉由参照附图中例示的本案的具体实施例来更特定地描述于概要段落中所载的本发明。如此,可更详细地了解前述的本发明的特征。
图1描绘根据一具体实施例的具有动态可调式工艺套件的等离子体处理腔室的示意性截面视图;
图2描绘图1的具有动态可调式工艺套件的等离子体处理腔室的局部放大的示意性截面视图;
图3描绘另一具体实施例的具有动态可调式工艺套件的等离子体处理腔室的部份示意性截面视图;
图4描绘另一具体实施例的具有动态可调式工艺套件的等离子体处理腔室的部份示意性截面视图;以及
图5描绘另一具体实施例的具有动态可调式工艺套件的等离子体处理腔室的部份示意性截面视图。
为促进对本案的理解,使用相同的组件符号来标示各图式中相同的组件。不需进一步说明而要知道的是,将一具体实施例中的组件及特征并入其它具体实施例可能为有利的。
然而,要注意的是,附图仅描述本发明的例示性具体实施例。因此,不应将附图描述的具体实施例用来限制本案范围,且本案可包含其它等效的具体实施例。
详细描述
本文描述的具体实施例提供动态可调式工艺套件、具有动态可调式工艺套件的处理腔室,以及使用动态可调式工艺套件来处理基板的方法。有利地,动态可调式工艺套件使得工艺套件的电气状态及热状态的一者或两者,在不改变工艺套件的物理结构下,能被改变。是以,不需替换工艺套件而能够容易地改变等离子体性质及工艺结果。由于现今可使用单一工艺套件来执行传统上需要具有不同物理结构的工艺套件的工艺,此单一工艺套件的电气状态及/或温度为可调式,因而使得可仿真先前只有通过交换工艺套件才得到的结果,利用本文所述的动态可调式工艺套件可得到较大的处理弹性及降低腔室的停工时间。
图1为根据一具体实施例的处理腔室100的示意性截面视图。处理腔室100可包括,但不限于,电容性耦合的等离子体处理腔室、感应性耦合的等离子体处理腔室等。尽管图1中所示的处理腔室100为蚀刻腔室,处理腔室100可经配置作为物理气相沉积(PVD)腔室、化学气相沉积(CVD)腔室、离子植入腔室、等离子体处理腔室、灰化腔室或其它等离子体处理腔室。
处理腔室100包括导电腔体102,该导电腔体可为铝或不锈钢,或其它适合的材料。腔体102包含导电侧壁108及底壁110,该侧壁及底壁界定出内部容积。侧壁108连接至电气接地122。腔体102具有设置于侧壁108中的基板传送开口104。腔体102具有耦合至泵浦系统124的泵端口,该泵浦系统系用于控制腔体的内部容积内的压力,及用于移除在处理期间产生的工艺副产物。
基板传送开口104使得基板能够被传送进及出处理腔室100,且基板传送开口可经狭缝阀(未绘示)密封。使用基板操纵装置,如机械手(未绘示),而经由基板传送开口104来传送基板106进及出处理腔室100。
处理腔室100包括上方电极112。于一具体实施例中,上方电极112经由匹配电路120而耦合至RF电源118,且该上方电极用来产生及/或维持处理腔室100的内部容积中的等离子体116。于一具体实施例中,上方电极112为耦合至气体板114的气体分配器以让工艺气体进入处理腔室100,而等离子体116由工艺气体所形成。气体分配器112可为喷嘴、气体分配板等。要知道的是,气体可由另一方式被引入内部容积。于另一具体实施例(未绘示)中,可将单独的电极耦合至RF电源118及匹配电路120以于处理腔室100中形成等离子体116。
环形的工艺套件128设置在基板支持组件126上,基板支持组件126设置于腔体102的内部容积中。基板支持组件126可为腔体的底壁110或侧壁108所支持。基板支持组件126可包括下方电极130,该下方电极位于上方电极112的下方。于一具体实施例中,下方电极130经由匹配电路136而被耦合至RF电源132。
基板支持组件126可包括设置于冷却基底160上的静电夹具。该静电夹具可经由匹配电路136而被耦合至RF电源132。冷却基底160具有温度控制组件,诸如用于流动热传输流体的导管,以控制该静电夹具的温度。
工艺套件128设置于该静电夹具的外周缘并实质上环绕基板106。于一具体实施例中,藉由适合的绝缘材料180使工艺套件128与该静电夹具的外周缘、冷却基底160的外周缘及/或下方电极130隔绝。于一具体实施例中,工艺套件128耦合至控制系统150而使工艺套件128的电气状态可受到控制。
图2是图1的处理腔室100的部份示意性截面视图,于图2中更详细地描述工艺套件128。工艺套件128包括一或多个环。于图2的具体实施例中,工艺套件128包括顶环202,该顶环以同心方式设置在底环204上。顶环202由硅、碳化硅、石英或其它合适的材料的至少一者所制得。或者,顶环202可由经硅、碳化硅、石英或其它合适的材料的至少一者所涂覆的基底材料所制得。底环204可由石英或其它合适的材料所制得。
于一具体实施例中,工艺套件128包括导电层206,藉由控制系统150以可受控制的方式来电气偏压导电层206而提供可调式电位(如,电气状态)给工艺套件128。于一具体实施例中,导电层206为位于底环204之下或之上的金属层。导电层206可附接至底环204或至顶环202。于另一具体实施例中,导电层206嵌入于底环204或顶环202中。导电层206可为固体金属、箔、金属网或其它合适的导电材料。
导电层206藉由连接器208及导线222而被耦合至控制系统150。导线222选径通过基板支持组件126。于一具体实施例中,藉由合适的绝缘材料280使连接器208及导线222与冷却基底160及下方电极130隔绝。连接器208与导电层206的底部接合以屏蔽与腔体102内的环境的连接。当被设置于该腔体的内部容积中的该基板支持上时,控制系统150可操作以控制该工艺套件的电气状态及热状态的一者或两者。于一具体实施例中,连接器208为导电垫或弹簧。导电层206上的顶环202及底环204的重量在导电层206及连接器208之间产生良好的电接触。连接器208可为卡口连接器、销连接器或任何其它合适的电连接器。
于一具体实施例中,控制系统150包括DC电源210及藉由导线222而被耦合至连接器208的调谐电路212。调谐电路212经配置以控制工艺套件128的各种处理参数。调谐电路212可操作以改变自身的电容、电感,及/或电阻,以设定由DC电源210施加至工艺套件128的电气偏压。将于以下进一步讨论关于调谐电路212的其它细节。
于一具体实施例中,控制系统150经耦合至控制器214以控制处理套件128的各种状态。包括有中央处理单元(CPU)216、存储器218及支持电路220的控制器214耦合至处理腔室100的各样构件,以有助于控制本发明中的等离子体处理。存储器218可为位于处理腔室100或CPU 216的本地或远程的任何计算机可读取媒体,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其它形式的数字储存。支持电路220经耦合至CPU 216且以一般的方式来支持CPU 216。该等电路包括高速缓存、电源供应、时钟电路、输入/输出电路及子系统等。软件例程或一系列的程序指令储存于存储器218中,当由CPU 216执行该例程或程序时,该例程或程序指令控制于处理腔室100中进行的过程,及/或该例程或程序指令控制系统150控制工艺套件128的热状态及/或电气状态。
于操作时,工艺套件128影响处理区134中的等离子体特性,等离子体特性实现于基板106边缘的蚀刻(或沉积)执行处理。藉控制工艺套件128的状态,例如藉动态地选择调谐电路212的电容、电阻抗(impedance)及电阻(resistance)的至少一者来控制工艺套件128的电位,工艺套件128可有利地控制等离子体的物理属性以控制基板106的边缘处的处理。例如,施加负电位至工艺套件128会将等离子体内的正电,相对于基板106的边缘向外拉,以及反之。
图3为工艺套件300的另一具体实施例,工艺套件300包括嵌入于底环304内的加热组件306,该加热组件使得工艺套件300的热状态能被控制。加热组件306耦合至控制系统350。加热组件306及控制系统350经由工艺套件300的底部连接,以屏蔽与处理腔室100的环境的连接。控制系统350可操作以控制工艺套件300的热状态。于一具体实施例中,控制系统350包括电源308及经耦合至加热组件306的滤器组件310。滤器组件310经配置以保护电源308不受用于驱动等离子体的RF功率影响。
控制系统350亦可任选地控制工艺套件300的电气状态。于一具体实施例中,如参照图2所述者,控制系统350亦包括DC电源210及调谐电路212以控制工艺套件300的电气状态。工艺套件300的热状态及电气状态可独立地受控于控制系统350。于一具体实施例中,控制器214控制加热组件306及调谐电路212两者的温度以控制处理腔室100中的等离子体处理。于一例示性操作中,藉由控制工艺套件300的温度,加热组件306有利地控制蚀刻期间的聚合物沉积。例如,较热的工艺套件300将使更多聚合物黏于侧壁108上或靠近基板106的边缘处蚀刻的特征,藉此控制基板106各处的外形的均匀度。
图4为工艺套件400的另一具体实施例,工艺套件400包括嵌入或形成于底环404内的温度控制部件406,该温度控制部件使得工艺套件400的热状态能被控制。温度控制部件406可为用来流动诸如气体、液体或彼等的组合的传热介质的导管或通道。温度控制部件406经耦合至控制系统450。控制系统450可操作以控制工艺套件400的热状态。于一具体实施例中,控制系统450包括经耦合至温度控制部件406的传热介质供应408。温度控制部件406及控制系统450经由工艺套件400的底部连接,以屏蔽与处理腔室100的环境的连接。传热介质供应408经配置以提供预定温度的传热介质,该传热介质循环通过温度控制部件406以依所欲方式加热或冷却工艺套件400。于另一具体实施例中,温度控制部件406包括设置于导电层206的绝缘材料484。绝缘材料484可为用来预防短路的断路器,例如DC断路器。于另一具体实施例中,温度控制部件406包括设置于绝缘材料280中的RF断路器482以预防短路。
控制系统450亦可任选地控制工艺套件400的电气状态。于一具体实施例中,如参照图2所述者,控制系统450亦包括DC电源210及调谐电路212以控制工艺套件400的电气状态。工艺套件400的热状态及电气状态可独立地受控于控制系统450。于一具体实施例中,控制器214控制温度控制部件406及调谐电路212两者的温度以控制处理腔室100中的等离子体处理。于一例示性操作中,藉由控制工艺套件400的温度,温度控制部件406有利地控制蚀刻期间的聚合物沉积。例如,较冷的工艺套件400将使较少的聚合物黏于侧壁上或于靠近基板106的边缘处蚀刻的特征,藉此控制基板106各处的外形的均匀度。
图5为工艺套件500的另一具体实施例,工艺套件500包括加热组件504及温度控制部件406两者,嵌入于工艺套件500内的该加热组件及该温度控制部件使得工艺套件500的热状态能被控制。于一具体实施例中,加热组件504嵌入于底环510中。于一具体实施例中,加热组件504为电阻加热器。加热组件504及温度控制部件406经耦合至控制系统530。与加热组件504及温度控制部件406耦合的控制系统530可操作以控制工艺套件500的热状态。于一具体实施例中,参照图3所描述者,控制系统530包括电源308及耦合至加热组件504的滤器组件310。参照图4所描述者,控制系统530亦包括耦合至温度控制部件406的传热介质供应408。
控制系统530可任选地控制工艺套件500的电气状态。于一具体实施例中,参照图2所描述者,控制系统530亦包括DC电源210及经耦合至导电层206的调谐电路212,以控制工艺套件500的电气状态。工艺套件500的热状态及电气状态可独立地受控于控制系统530。于一具体实施例中,控制器214与控制系统530接合来控制加热组件504的温度及温度控制部件406的温度,进而控制工艺套件500的热状态。于另一具体实施例,控制器214与控制系统530接合以控制加热组件504及温度控制部件406的一者或两者的温度以及控制调谐电路212,以控制工艺套件500的热状态及电气状态。
再次参照图1,位于处理区134的横向外侧的侧壁108的部分152,相对于经接地的侧壁108的其它部分,可任选地受到电气控制。侧壁108的部分152由绝缘体142及172划界,使得侧壁108的部分152的电气状态能被控制。侧壁108的部分152耦合至控制系统195,该控制系统系可操作以控制侧壁108的部分152的电气状态。于一具体实施例中,控制系统195包括电源146及耦合至侧壁108的部分152的调谐电路145,以控制侧壁108的部分152的电气状态。电源146可为DC电源或RF电源。对于工艺套件而言,调谐电路145具有与前述的调谐电路212相同的特性以藉由施加负或正偏压至侧壁108的部分152来控制侧壁108的部分152的电气状态。要注意的是,工艺套件128、300、400及500可用于处理腔室100,相对于侧壁108的其余部分的接地状态,处理腔室100的侧壁108的部分152的电气状态受到电气控制。
前述者关于本发明的具体实施例。在不悖离此等具体实施例的基本范围下,可设计本发明的其它及进一步的具体实施例。本发明的范围由所附的权利要求所界定。

Claims (15)

1.一种用于等离子体处理腔室的工艺套件,所述工艺套件包含:
顶环;以及
底环,所述底环经调适而以同心方式支持所述顶环,所述顶环及所述底环的内径经选择而环绕半导体晶圆,所述底环具有连接器,所述连接器经配置而将信号耦合至所述底环以供外部控制所述底环的热状态及电气状态的一者或两者。
2.如权利要求1所述的工艺套件,其特征在于,所述底环进一步包含:
导电层,所述导电层经耦合至所述连接器。
3.如权利要求1所述的工艺套件,其特征在于,进一步包含:
加热组件,所述加热组件经耦合至所述连接器。
4.如权利要求1所述的工艺套件,其特征在于,所述底环进一步包含:
温度控制部件,所述温度控制部件经配置以使所述工艺套件的热状态受到控制。
5.如权利要求1所述的工艺套件,其特征在于,进一步包含:
绝缘材料,所述绝缘材料设置于所述底环及所述顶环的至少一者的内径上。
6.一种具有动态可调式工艺套件的处理腔室,所述处理腔室包含:
腔体,所述腔体具有基板传送开口及内部容积,其中所述腔体包括导电侧壁,所述导电侧壁具有第一部分,所述第一部分经配置而以与所述导电侧壁的其余部分独立的方式受到电气控制;
基板支持组件,所述基板支持组件设置于所述腔体的内部容积中;以及
工艺套件,所述工艺套件设置于所述基板支持组件上,所述工艺套件包含:
顶环;以及
底环,所述底环经调适而以同心方式支持所述顶环,所述顶环及所述底环的内径经选择而环绕半导体晶圆,所述半导体晶圆设置于所述基板支持组件上,所述底环具有连接器,所述连接器经配置而将信号耦合至所述底环以供外部控制所述底环的热状态及电气状态的一者或两者。
7.如权利要求6所述的处理腔室,其特征在于,进一步含有:
第一控制系统,所述第一控制系统经由所述连接器而与所述工艺套件接合,且所述第一控制系统可操作以控制所述工艺套件的电气状态及热状态的一者或两者;以及
第二控制系统,所述第二控制系统与所述导电侧壁的第一部分接合,所述第二控制系统可操作以控制所述导电侧壁的所述第一部分的电气状态。
8.如权利要求6所述的处理腔室,其特征在于,所述工艺套件经由所述连接器而与所述第一控制系统的第一调谐电路及第一电源接合,以控制所述工艺套件的电气状态。
9.如权利要求8所述的处理腔室,其特征在于,所述第一调谐电路经配置以控制由所述第一电源供应的电气偏压的参数。
10.如权利要求6所述的处理腔室,其特征在于,所述工艺套件进一步包含:
加热组件,所述加热组件经耦合至所述连接器。
11.如权利要求6所述的处理腔室,其特征在于,所述工艺套件进一步包含:
温度控制部件,所述温度控制部件经配置以允许传热介质控制所述工艺套件的热状态。
12.如权利要求11所述的处理腔室,其特征在于,所述温度控制部件为导管或通道,且所述温度控制部件经配置以流动传热介质。
13.如权利要求6所述的处理腔室,其特征在于,所述导电侧壁的所述第一部分与调协电路及电源接合,以控制所述导电侧壁的所述第一部分的电气状态。
14.如权利要求6所述的处理腔室,其特征在于,所述顶环由选自由硅、碳化硅及石英所组成群组中的材料所制得,或所述顶环经选自由硅、碳化硅及石英所组成群组中的材料所涂覆。
15.一种用于在处理腔室中处理基板的方法,包含:
传送基板至所述处理腔室中,所述处理腔室具有工艺套件,所述工艺套件设置于基板支持组件上,所述工艺套件包含:
顶环;以及
底环,所述底环经调适而以同心方式支持所述顶环,所述顶环及底环的内径经选择而环绕半导体晶圆,所述底环具有连接器,所述连接器经配置而将信号耦合至所述底环以供外部控制所述底环的热状态及电气状态的一者或两者;
设定所述工艺套件的电气状态及热状态的一者或两者;
于所述处理腔室内形成等离子体;以及
在所述等离子体存在下处理所述基板。
CN201380018921.4A 2012-04-26 2013-04-02 用于控制基板均匀度的方法及设备 Pending CN104205299A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261638940P 2012-04-26 2012-04-26
US61/638,940 2012-04-26
US201261709593P 2012-10-04 2012-10-04
US61/709,593 2012-10-04
US13/766,238 US9412579B2 (en) 2012-04-26 2013-02-13 Methods and apparatus for controlling substrate uniformity
US13/766,238 2013-02-13
PCT/US2013/035006 WO2013162838A1 (en) 2012-04-26 2013-04-02 Methods and apparatus for controlling substrate uniformity

Publications (1)

Publication Number Publication Date
CN104205299A true CN104205299A (zh) 2014-12-10

Family

ID=49477679

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380018921.4A Pending CN104205299A (zh) 2012-04-26 2013-04-02 用于控制基板均匀度的方法及设备

Country Status (6)

Country Link
US (2) US9412579B2 (zh)
JP (1) JP2015522938A (zh)
KR (1) KR20150003887A (zh)
CN (1) CN104205299A (zh)
TW (1) TW201344743A (zh)
WO (1) WO2013162838A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108807125A (zh) * 2017-05-02 2018-11-13 应用材料公司 处理腔室和递归分配连接器
CN110468377A (zh) * 2018-05-11 2019-11-19 北京北方华创微电子装备有限公司 腔室及半导体加工设备
CN111383887A (zh) * 2018-12-27 2020-07-07 江苏鲁汶仪器有限公司 一种改善等离子体刻蚀均匀性的装置及方法
CN111489951A (zh) * 2016-06-22 2020-08-04 朗姆研究公司 耦合环
WO2022100538A1 (zh) * 2020-11-11 2022-05-19 北京北方华创微电子装备有限公司 等离子体浸没离子注入设备

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
KR20150131095A (ko) * 2013-03-15 2015-11-24 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버에서 튜닝 링을 사용하여 플라즈마 프로파일을 튜닝하기 위한 장치 및 방법
US9865431B2 (en) 2013-03-15 2018-01-09 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
CN105491780B (zh) * 2014-10-01 2018-03-30 日新电机株式会社 等离子体产生用的天线及具备该天线的等离子体处理装置
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
CN106920725B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 一种聚焦环的温度调整装置及方法
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
JP3210105U (ja) 2016-03-04 2017-04-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ユニバーサルプロセスキット
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
JP6698502B2 (ja) * 2016-11-21 2020-05-27 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11387134B2 (en) 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
JP7055040B2 (ja) 2018-03-07 2022-04-15 東京エレクトロン株式会社 被処理体の載置装置及び処理装置
CN110323117A (zh) 2018-03-28 2019-10-11 三星电子株式会社 等离子体处理设备
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
WO2020163132A1 (en) * 2019-02-04 2020-08-13 Lam Research Corporation Plasma resistant component for a plasma processing chamber
JP7278896B2 (ja) * 2019-07-16 2023-05-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN112435912B (zh) * 2019-08-26 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
JP7454961B2 (ja) * 2020-03-05 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置
US11276601B2 (en) * 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
TW202234461A (zh) * 2020-05-01 2022-09-01 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20020171994A1 (en) * 2001-05-15 2002-11-21 Applied Materials, Inc. Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
CN1914712A (zh) * 2003-12-17 2007-02-14 兰姆研究公司 用于减少等离子体反应器蚀刻速率漂移的温控热边缘环组件
CN101038849A (zh) * 2006-03-17 2007-09-19 东京毅力科创株式会社 等离子体处理装置和方法以及聚焦环

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316645A (en) * 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
KR970005035B1 (ko) * 1992-03-31 1997-04-11 마쯔시다덴기산교 가부시기가이샤 플라즈마발생방법 및 그 장치
EP0967632A1 (en) * 1993-07-30 1999-12-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
KR20010089376A (ko) 1998-10-29 2001-10-06 조셉 제이. 스위니 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7988814B2 (en) 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
KR20090050606A (ko) 2007-11-16 2009-05-20 주식회사 하이닉스반도체 플라즈마 식각 장치 및 그를 이용한 식각방법
US20140069584A1 (en) 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
KR101093606B1 (ko) 2009-10-29 2011-12-15 주식회사 뉴파워 프라즈마 기판 처리 효율이 향상된 플라즈마 반응기
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20020171994A1 (en) * 2001-05-15 2002-11-21 Applied Materials, Inc. Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
CN1914712A (zh) * 2003-12-17 2007-02-14 兰姆研究公司 用于减少等离子体反应器蚀刻速率漂移的温控热边缘环组件
CN101038849A (zh) * 2006-03-17 2007-09-19 东京毅力科创株式会社 等离子体处理装置和方法以及聚焦环

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111489951A (zh) * 2016-06-22 2020-08-04 朗姆研究公司 耦合环
CN111489951B (zh) * 2016-06-22 2023-07-25 朗姆研究公司 耦合环
CN108807125A (zh) * 2017-05-02 2018-11-13 应用材料公司 处理腔室和递归分配连接器
CN110468377A (zh) * 2018-05-11 2019-11-19 北京北方华创微电子装备有限公司 腔室及半导体加工设备
CN110468377B (zh) * 2018-05-11 2022-04-22 北京北方华创微电子装备有限公司 腔室及半导体加工设备
CN111383887A (zh) * 2018-12-27 2020-07-07 江苏鲁汶仪器有限公司 一种改善等离子体刻蚀均匀性的装置及方法
WO2022100538A1 (zh) * 2020-11-11 2022-05-19 北京北方华创微电子装备有限公司 等离子体浸没离子注入设备

Also Published As

Publication number Publication date
US20160329256A1 (en) 2016-11-10
WO2013162838A1 (en) 2013-10-31
US10177050B2 (en) 2019-01-08
US20130288483A1 (en) 2013-10-31
TW201344743A (zh) 2013-11-01
JP2015522938A (ja) 2015-08-06
KR20150003887A (ko) 2015-01-09
US9412579B2 (en) 2016-08-09

Similar Documents

Publication Publication Date Title
CN104205299A (zh) 用于控制基板均匀度的方法及设备
CN206758401U (zh) 控制电容耦合等离子体工艺设备的边缘环的射频振幅
US11265971B2 (en) Sensor system for multi-zone electrostatic chuck
TWI814837B (zh) 電漿處理裝置及電漿處理裝置的射頻電源之控制方法
KR102383357B1 (ko) 배치대 및 기판 처리 장치
JP6709736B2 (ja) ピクセル型温度制御式基板支持アセンブリ
CN107710378B (zh) 多电极基板支撑组件与相位控制系统
KR101838943B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
CN102150243B (zh) 温控热边缘环组合件
TWI590373B (zh) 有著對稱供給結構之基板支架
TWI373810B (en) Substrate processing with rapid temperature gradient control
CN106233435B (zh) 加热器供电机构
TWI748009B (zh) 電漿處理裝置
JP2011503877A (ja) 温度制御のための流体ゾーンを備えるワークピース支持体
TW201207987A (en) Plasma processing apparatus and method of manufacturing semiconductor device
JP2015536043A (ja) 基板処理システムにおける温度制御
JP2021153203A (ja) 温度制御装置、温度制御方法、および載置台
CN109841476A (zh) 半导体制造装置用的部件以及半导体制造装置
CN105097630A (zh) 承载装置以及等离子刻蚀设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20141210