TW201344743A - 用於控制基板均勻度之方法及設備 - Google Patents

用於控制基板均勻度之方法及設備 Download PDF

Info

Publication number
TW201344743A
TW201344743A TW102113464A TW102113464A TW201344743A TW 201344743 A TW201344743 A TW 201344743A TW 102113464 A TW102113464 A TW 102113464A TW 102113464 A TW102113464 A TW 102113464A TW 201344743 A TW201344743 A TW 201344743A
Authority
TW
Taiwan
Prior art keywords
process kit
processing chamber
bottom ring
ring
control
Prior art date
Application number
TW102113464A
Other languages
English (en)
Inventor
S M Reza Sadjadi
Dmitry Lubomirsky
Hamid Noorbakhsh
Zheng John Ye
David H Quach
Sean S Kang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201344743A publication Critical patent/TW201344743A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明提供一種動態可調式製程套件、一種具有動態可調式製程套件的處理腔室,以及一種使用動態可調式製程套件來處理基板的方法。該動態可調式製程套件使得製程套件之電氣狀態及熱狀態之一者或兩者,在不改變製程套件的物理結構下,能被改變。是以,不需替換製程套件而能夠容易地改變電漿性質及製程結果。該具有動態可調式製程套件的處理腔室包括腔體,該腔體包括部分導電側壁以及製程套件,導電側壁經配置為受電氣控制。該處理腔室包括第一控制系統以及第二控制系統,第一控制系統係可操作以控制製程套件的電氣狀態及熱狀態的一者或兩者,以及第二控制系統係可操作以控制部分導電側壁的電氣狀態。

Description

用於控制基板均勻度之方法及設備
本發明大致上關於用於半導體製造之方法及設備。具體地,本文中描述之具體實施例關於用於半導體基板之電漿處理腔室及製程套件。
積體電路已發展成為,於單一晶片上可包括無數構件(如電晶體、電容器及電阻器)之複雜裝置。晶片設計的演進持續需要更快速的電路及更大的電路密度。因應更大的電路密度的需求,需要縮小積體電路構件的尺寸。
五十多年來,形成於積體電路上之電晶體的數目約每隔兩年會增加一倍。因當今設計的供未來製程之形成於半導體晶片上的裝置之臨界尺寸將由目前的20-30 nm縮小至0-5 nm,此兩年加倍的傾向(亦稱為摩爾定律)仍將持續。裝置幾何縮小之際,製造幾何成長。幾年前,300 mm晶圓取代了128 mm晶圓,而300 mm晶圓將在短時間內被450 mm晶圓取代。由於大面積半導體基板的處理日趨複雜,針對邏輯晶片,可能需要更大的製造幾何。
製程條件中之均勻度對半導體製造而言相當重要,並且由於裝置之臨界尺寸持續下降及製造幾何持續增加,對於不均勻度之耐受度亦下降。不均勻度由數種原因造成,此等原因可能關於裝置性質、設備特性,及製造過程之化學及物理。由於半導體製造工業依照摩爾定律發展,持續需要可提供非常均勻的製程之製造程序及設備。
本文所述之具體實施例提供一種動態可調式製程套件、一種具有動態可調式製程套件的處理腔室,以及一種使用動態可調式製程套件來處理基板的方法。動態可調式製程套件使得製程套件之電氣狀態及熱狀態之一者或兩者,在不改變製程套件的物理結構下,能被改變。是以,不需替換製程套件而能夠容易地改變電漿性質及製程結果。
於一具體實施例中,提供一用於電漿處理腔室之製程套件,該製程套件包括一頂環及一底環,該底環經調適而以同心方式支持該頂環。該頂環及底環之內徑經選擇而環繞一半導體晶圓。該底環具有一連接器,該連接器經配置而將一訊號偶合至該底環以供外部控制該底環之熱狀態及電氣狀態的一者或兩者。
於另一具體實施例中,提供一具有動態可調式製程套件之處理腔室。該處理腔室包括一腔體,該腔體具有一基板傳送開口及一內部容積。該腔體包括一導電側壁,該導電側壁具有一第一部分,該第一部分經配置而以獨立於該導電側壁之其餘部分的方式受到電氣控制。一基板支持組件係設 置在該腔體的內部容積中,及該基板支持組件具有設置於其上之一製程套件。該製程套件包括一頂環及一底環,該底環經調適而以同心方式支持該頂環。該頂環及底環之內徑經選擇而環繞一半導體晶圓。該底環具有一連接器,該連接器經配置而將一訊號偶合至該底環以供外部控制該底環之熱狀態及電氣狀態的一者或兩者。
在又一具體實施例中,提供一用於在處理腔室中處理基板之方法,該方法包括傳送一基板至該處理腔室中,該處理腔室具有一製程套件,該製程套件係設置於一基板支持組件上。該製程套件包括一頂環及一底環,該底環經調適而以同心方式支持該頂環。該頂環及底環之內徑經選擇而環繞一半導體晶圓。該底環具有一連接器,該連接器經配置而將一訊號偶合至該底環以供外部控制該底環之熱狀態及電氣狀態的一者或兩者。該方法進一步包括:設定該製程套件之電氣狀態及熱狀態之一者或兩者;於該處理腔室內形成一電漿;以及在該電漿存在下處理該基板。
100‧‧‧處理腔室
102‧‧‧腔體
104‧‧‧開口
106‧‧‧基板
108‧‧‧側壁
110‧‧‧底壁
112‧‧‧上方電極
114‧‧‧氣體板
116‧‧‧電漿
118‧‧‧RF電源
120‧‧‧匹配電路
122‧‧‧接地
124‧‧‧泵浦系統
126‧‧‧基板支持組件
128‧‧‧製程套件
130‧‧‧下方電極
132‧‧‧RF電源
134‧‧‧處理區
136‧‧‧匹配電路
142‧‧‧絕緣體
145‧‧‧調諧電路
146‧‧‧電源
150‧‧‧控制系統
152‧‧‧部分
160‧‧‧冷卻基底
172‧‧‧絕緣體
180‧‧‧絕緣材料
195‧‧‧控制系統
202‧‧‧頂環
204‧‧‧底環
206‧‧‧導電層
208‧‧‧連接器
210‧‧‧DC電源
212‧‧‧調諧電路
214‧‧‧控制器
216‧‧‧中央處理單元
218‧‧‧記憶體
220‧‧‧支持電路
222‧‧‧導線
280‧‧‧絕緣材料
300‧‧‧製程套件
304‧‧‧底環
306‧‧‧加熱元件
308‧‧‧電源
310‧‧‧濾器元件
350‧‧‧控制系統
400‧‧‧製程套件
404‧‧‧底環
406‧‧‧溫度控制部件
408‧‧‧傳熱介質供應
450‧‧‧控制系統
482‧‧‧RF斷路器
484‧‧‧絕緣材料
500‧‧‧製程套組
504‧‧‧加熱元件
510‧‧‧底環
530‧‧‧控制系統
藉由參照後附圖式中例示之本案的具體實施例來更特定地描述於發明內容段落中所載之本發明。如此,可更詳細地了解前述之本發明的特徵。
圖1係根據一具體實施例之具有動態可調式製程套件之電漿處理腔室之截面視圖;圖2係圖1之具有動態可調式製程套件之電漿處理腔室之部份截面視圖的放大圖; 圖3係另一具體實施例之具有動態可調式製程套件之電漿處理腔室之部份截面視圖;圖4係另一具體實施例之具有動態可調式製程套件之電漿處理腔室之部份截面視圖;以及圖5係另一具體實施例之具有動態可調式製程套件之電漿處理腔室之部份截面視圖。
為促進對本案的理解,使用相同的元件符號來標示各圖式中相同的元件。不需進一步說明而要知道的是,將一具體實施例中的元件及特徵併入其他具體實施例可能為有利的。
然而,要注意的是,後附圖式僅描述本發明之例示性具體實施例。因此,不應將圖式描述之具體實施例用來限制本案範圍,且本案可包含其他等效的具體實施例。
本文描述之具體實施例提供動態可調式製程套件、具有動態可調式製程套件的處理腔室,以及使用動態可調式製程套件來處理基板的方法。有利地,動態可調式製程套件使得製程套件之電氣狀態及熱狀態之一者或兩者,在不改變製程套件的物理結構下,能被改變。是以,不需替換製程套件而能夠容易地改變電漿性質及製程結果。由於現今可使用單一製程套件來執行傳統上需要具有不同物理結構的製程套件之製程,此單一製程套件的電氣狀態及/或溫度為可調式,因而可獲致先前僅能藉交換製程套件才得到的結果,利用本文所述之動態可調式製程套件可得到較大的處理彈性及降低 腔室的停工時間。
圖1為根據一具體實施例之處理腔室100的截面視圖。處理腔室100可包括,但不限於,電容性偶合的電漿處理腔室、感應性偶合的電漿處理腔室等。儘管圖1中所示之處理腔室100為蝕刻腔室,處理腔室100可經配置作為物理氣相沉積(PVD)腔室、化學氣相沉積(CVD)腔室、離子植入腔室、電漿處理腔室、灰化腔室或其他電漿處理腔室。
處理腔室100包括導電腔體102,該導電腔體可為鋁或不鏽鋼,或其他適合的材料。腔體102包含導電側壁108及底壁110,該側壁及底壁界定出一內部容積。側壁108係連接至電氣接地122。腔體102具有設置於側壁108中之基板傳送開口104。腔體102具有偶合至泵浦系統124的泵埠,該泵浦系統係用於控制腔體之內部容積內的壓力,及用於移除在製程期間產生的製程副產物。
基板傳送開口104使得基板能夠被傳送進及出處理腔室100,且基板傳送開口可經狹縫閥(未繪示)密封。使用基板操縱裝置,如機械手(未繪示),而經由基板傳送開口104來傳送基板106進及出處理腔室100。
處理腔室100包括上方電極112。於一具體實施例中,上方電極112經由匹配電路120而偶合至RF電源118,且該上方電極係用來產生及/或維持處理腔室100之內部容積中的電漿116。於一具體實施例中,上方電極112為偶合至氣體板114之氣體分配器以讓製程氣體進入處理腔室100,而電漿116係由製程氣體所形成。氣體分配器112可為噴嘴、氣 體分配板等。要知道的是,氣體可由另一方式被引入內部容積。於另一具體實施例(未繪示)中,可將個別的電極偶合至RF電源118及匹配電路120以於處理腔室100中形成電漿116。
環形的製程套件128係設置在基板支持組件126上,基板支持組件126係設置於腔體102的內部容積中。基板支持組件126可為腔體的底壁110或側壁108所支持。基板支持組件126可包括下方電極130,該下方電極係位於上方電極112之下。於一具體實施例中,下方電極130係經由匹配電路136而被偶合至RF電源132。
基板支持組件126可包括設置於冷卻基底160上的靜電夾具。該靜電夾具可經由匹配電路136而被偶合至RF電源132。冷卻基底160具有溫度控制元件,諸如用於流動熱傳輸液體的導管,以控制該靜電夾具的溫度。
製程套件128係設置於該靜電夾具的外周緣並實質上環繞基板106。於一具體實施例中,藉由適合的絕緣材料180使製程套件128與該靜電夾具的外周緣、冷卻基底160的外周緣及/或下方電極130隔絕。於一具體實施例中,製程套件128係偶合至控制系統150而使製程套件128的電氣狀態可受到控制。
圖2係圖1之處理腔室100的部份截面視圖,於圖2中更詳細地描述製程套件128。製程套件128包括一或多個環。於圖2的具體實施例中,製程套件128包括頂環202,該頂環係以同心方式設置在底環204上。頂環202由矽、碳化 矽、石英或其他合適的材料的至少一者所製得。或者,頂環202可由經矽、碳化矽、石英或其他合適的材料的至少一者所塗覆的基底材料所製得。底環204可由石英或其他合適的材料所製得。
於一具體實施例中,製程套件128包括導電層206,藉由控制系統150以可受控制的方式來電氣偏壓導電層206而提供可調式電位(如,電氣狀態)給製程套件128。於一具體實施例中,導電層206為位於底環204之下或之上的金屬層。導電層206可附接至底環204或至頂環202。於另一具體實施例中,導電層206係埋置於底環204或頂環202中。導電層206可為固體金屬、箔、金屬網或其他合適的導電材料。
導電層206係藉由連接器208及導線222而被偶合至控制系統150。導線222選徑通過基板支持組件126。於一具體實施例中,藉由合適的絕緣材料280使連接器208及導線222與冷卻基底160及下方電極130隔絕。連接器208與導電層206的底部接合以屏蔽與腔體102內之環境的連接。當控制系統150被設置於該腔體的內部容積中之該基板支持上時,控制系統150係可操作以控制該製程套件之電氣狀態及熱狀態之一者或兩者。於一具體實施例中,連接器208為導電墊或彈簧。導電層206上之頂環202及底環204的重量在導電層206及連接器208之間產生良好的電接觸。連接器208可為卡口連接器、銷連接器或任何其他合適的電連接器。
於一具體實施例中,控制系統150包括DC電源210及藉由導線222而被偶合至連接器208的調諧電路212。調諧 電路212經配置以控制製程套件128的各種處理參數。調諧電路212係可操作以改變自身之電容、電感,及/或電阻,以設定由DC電源210施加至製程套件128的電氣偏壓。將於以下進一步討論關於調諧電路212的其他細節。
於一具體實施例中,控制系統150經偶合至控制器214以控制處理套件128的各種狀態。包括有中央處理單元(CPU)216、記憶體218及支持電路220的控制器214係偶合至處理腔室100的各樣構件,以有助於控制本發明中之電漿處理。記憶體218可為位於處理腔室100或CPU 216之本地或遠端的任何電腦可讀取媒體,諸如動態存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存。支持電路220經偶合至CPU 216且以一般的方式來支持CPU 216。該等電路包括快取記憶體、電源供應、時脈電路、輸入/輸出電路及子系統等。軟體常式或一系列的程式指令係儲存於記憶體218中,當由CPU 216執行該常式或程式時,該常式或程式控制於處理腔室100中進行的程序,及/或該常式或程式令控制系統150控制製程套件128的熱狀態及/或電氣狀態。
於操作時,製程套件128影響處理區134的電漿特性,電漿特性實現於基板106邊緣的蝕刻(或沉積)表現。藉控制製程套件128的狀態,例如藉動態地選擇調諧電路212之電容、電阻抗(impedance)及電阻(resistance)之至少一者來控制製程套件128的電位,製程套件128可有利地控制電漿之物理屬性以控制基板106之邊緣的處理。例如,施加一負電 位至製程套件128會將電漿內的正電,相對於基板106的邊緣向外拉,反之亦然。
圖3為關於製程套件300的另一具體實施例。製程套件300包括埋置於底環304內的加熱元件306,該加熱元件使得製程套件300的熱狀態能被控制。加熱元件306係偶合至控制系統350。加熱元件306及控制系統350經由製程套件300的底部連接,以屏蔽與處理腔室100之環境的連接。控制系統350係可操作以控制製程套件300的熱狀態。於一具體實施例中,控制系統350包括電源308及經偶合至加熱元件306的濾器元件310。濾器元件310經配置以使電源308免用於驅動電漿之RF功率。
控制系統350亦可任意地控制製程套件300的電氣狀態。於一具體實施例中,參照圖2所述者,控制系統350亦包括DC電源210及調諧電路212以控制製程套件300的電氣狀態。製程套件300的熱狀態及電氣狀態可獨立地受控於控制系統350。於一具體實施例中,控制器214控制加熱元件306及調諧電路212兩者的溫度以控制處理腔室100中之電漿處理。於一例示性操作中,在蝕刻期間藉由控制製程套件300的溫度,加熱元件306有利地控制聚合物沉積。例如,較熱的製程套件300將使更多聚合物黏於側壁108上或靠近基板106之邊緣之待被蝕刻的特徵,藉此控制基板106各處之外形的均勻度。
圖4為關於製程套件400的另一具體實施例。製程套件400包括埋置或形成於底環404內之溫度控制部件406, 該溫度控制部件使得製程套件400的熱狀態能被控制。溫度控制部件406可為用來流動諸如氣體、液體或彼等的組合之傳熱介質的導管或通道。溫度控制部件406經偶合至控制系統450。控制系統450係可操作以控制製程套件400的熱狀態。於一具體實施例中,控制系統450包括經偶合至溫度控制部件406的傳熱介質供應408。溫度控制部件406及控制系統450經由製程套件400的底部連接,以屏蔽與處理腔室100之環境的連接。傳熱介質供應408經配置以提供預定溫度的傳熱介質,該傳熱介質係循環通過溫度控制部件406以依所欲方式加熱或冷卻製程套件400。於另一具體實施例中,溫度控制部件406包括設置於導電層206之絕緣材料484。絕緣材料484可為用來預防短路的斷路器,例如DC斷路器。於另一具體實施例中,溫度控制部件406包括設置於絕緣材料280中之RF斷路器482以預防短路。
控制系統450亦可任意地控制製程套件400的電氣狀態。於一具體實施例中,參照圖2所述者,控制系統450亦包括DC電源210及調諧電路212以控制製程套件400的電氣狀態。製程套件400的熱狀態及電氣狀態可獨立地受控於控制系統450。於一具體實施例中,控制器214控制溫度控制部件406及調諧電路212兩者的溫度以控制處理腔室100中之電漿處理。於一例示性操作中,在蝕刻期間藉由控制製程套件400的溫度,溫度控制部件406有利地控制聚合物沉積。例如,較冷的製程套件400將使較少的聚合物黏於側壁上或於靠近基板106之邊緣之待被蝕刻的特徵,藉此控制基板106 各處之外形的均勻度。
圖5為關於製程套件500之另一具體實施例。製程套件500包括加熱元件504及溫度控制部件406兩者,埋置於製程套件500內之該加熱元件及該溫度控制部件使得製程套件500的熱狀態能被控制。於一具體實施例中,加熱元件504係埋置於底環510中。於一具體實施例中,加熱元件504為電阻加熱器。加熱元件504及溫度控制部件406經偶合至控制系統530。與加熱元件504及溫度控制部件406偶合的控制系統530係可操作以控制製程套件500的熱狀態。於一具體實施例中,參照圖3所描述者,控制系統530包括電源308及偶合至加熱元件504的濾器元件310。參照圖4所描述者,控制系統530亦包括偶合至溫度控制部件406的傳熱介質供應408。
控制系統530可任意地控制製程套件500的電氣狀態。於一具體實施例中,參照圖2所描述者,控制系統530亦包括DC電源210及經偶合至導電層206的調諧電路212,以控制製程套件500的電氣狀態。製程套件500的熱狀態及電氣狀態可獨立地受控於控制系統530。於一具體實施例中,控制器214與控制系統530接合來控制加熱元件504的溫度及溫度控制部件406的溫度,進而控制製程套件500的熱狀態。於另一具體實施例,控制器214與控制系統530接合以控制加熱元件504及溫度控制部件406之一者或兩者的溫度以及控制調諧電路212,以控制製程套件500的熱狀態及電氣狀態。
再次參照圖1,位於處理區134之橫向外側的側壁108的部分152,相對於經接地之側壁108的其他部分,可任意地受到電氣控制。側壁108的部分152係藉由絕緣體142及172結合,使得側壁108的部分152之電氣狀態能被控制。側壁108的部分152係偶合至控制系統195,該控制系統係可操作以控制側壁108的部分152之電氣狀態。於一具體實施例中,控制系統195包括電源146及偶合至側壁108的部分152之調諧電路145,以控制側壁108的部分152之電氣狀態。電源146可為DC電源或RF電源。藉由施加負或正偏壓至側壁108的部分152,對於製程套件而言,調諧電路145具有與前述之調諧電路212相同的特性以控制側壁108的部分152之電氣狀態。要注意的是,製程套件128、300、400及500可用於處理腔室100,相對於側壁108之其餘部分的接地狀態,處理腔室100之側壁108的部分152的電氣狀態受到電氣控制。
前述者關於本發明之具體實施例。在不悖離此等具體實施例的基本範圍下,可設計本發明之其他及進一步的具體實施例。本發明之範圍由後附之申請專利範圍所界定。
100‧‧‧處理腔室
102‧‧‧腔體
104‧‧‧開口
106‧‧‧基板
108‧‧‧側壁
110‧‧‧底壁
112‧‧‧上方電極
114‧‧‧氣體板
116‧‧‧電漿
118‧‧‧RF電源
120‧‧‧匹配電路
122‧‧‧接地
124‧‧‧泵浦系統
126‧‧‧基板支持組件
128‧‧‧製程套件
130‧‧‧下方電極
132‧‧‧RF電源
134‧‧‧處理區
136‧‧‧匹配電路
142‧‧‧絕緣體
145‧‧‧調諧電路
146‧‧‧電源
150‧‧‧控制系統
152‧‧‧部分
160‧‧‧冷卻基底
172‧‧‧絕緣體
180‧‧‧絕緣材料
195‧‧‧控制系統

Claims (20)

  1. 一種用於電漿處理腔室之製程套件,該製程套件包含:一頂環;以及一底環,該底環經調適而以同心方式支持該頂環,該頂環及該底環之內徑經選擇而環繞一半導體晶圓,該底環具有一連接器,該連接器經配置而將一訊號偶合至該底環以供外部控制該底環之熱狀態及電氣狀態的一者或兩者。
  2. 如請求項1所述之製程套件,其中該底環進一步包含:一導電層,該導電層經偶合至該連接器。
  3. 如請求項1所述之製程套件,進一步包含:一加熱元件,該加熱元件經偶合至該連接器。
  4. 如請求項3所述之製程套件,其中該加熱元件係埋置於該底環中。
  5. 如請求項1所述之製程套件,其中該底環進一步包含:一溫度控制部件,該溫度控制部件經配置以使該製程套件之熱狀態受到控制。
  6. 如請求項1所述之製程套件,進一步包含:一絕緣材料,該絕緣材料係設置於該底環及該頂環之至少一者的內徑上。
  7. 如請求項1所述之製程套件,其中該頂環係由選自由矽、碳化矽及石英所組成群組中之一材料所製得,或該頂環經選自由矽、碳化矽及石英所組成群組中之一材料所塗覆。
  8. 一種具有動態可調式製程套件之處理腔室,該處理腔室包含: 一腔體,該腔體具有一基板傳送開口及一內部容積,其中該腔體包括一導電側壁,該導電側壁具有一第一部分,該第一部分經配置而以與該導電側壁之其餘部分獨立的方式受到電氣控制;一基板支持組件,該基板支持組件係設置於該腔體之內部容積中;以及一製程套件,該製程套件係設置於該基板支持組件上,該製程套件包含:一頂環;以及一底環,該底環經調適而以同心方式支持該頂環,該頂環及該底環之內徑經選擇而環繞一半導體晶圓,該半導體晶圓係設置於該基板支持組件上,該底環具有一連接器,該連接器經配置而將一訊號偶合至該底環以供外部控制該底環之熱狀態及電氣狀態的一者或兩者。
  9. 如請求項8所述之處理腔室,進一步含有:一第一控制系統,該第一控制系統經由該連接器而與該製程套件接合,且該第一控制系統係可操作以控制該製程套件之電氣狀態及熱狀態之一者或兩者;以及一第二控制系統,該第二控制系統與該導電側壁之第一部分接合,該第二控制系統係可操作以控制該導電側壁之第一部分的電氣狀態。
  10. 如請求項8所述之處理腔室,其中該製程套件經由該連接器而與該第一控制系統之一第一調諧電路及一第一電源接 合,以控制該製程套件之電氣狀態。
  11. 如請求項10所述之處理腔室,其中該第一調諧電路經配置以控制由該第一電源供應之一電氣偏壓的參數。
  12. 如請求項8所述之處理腔室,其中該製程套件進一步包含:一加熱元件,該加熱元件經偶合至該連接器。
  13. 如請求項8所述之處理腔室,其中該製程套件進一步包含:一溫度控制部件,該溫度控制部件經配置以允許一傳熱介質控制該製程套件之熱狀態。
  14. 如請求項13所述之處理腔室,其中該溫度控制部件為一導管或通道,且該溫度控制部件經配置以流動一傳熱介質。
  15. 如請求項8所述之處理腔室,其中該導電側壁之第一部分係與一調協電路及一電源接合,以控制該導電側壁之第一部分的電氣狀態。
  16. 如請求項8所述之處理腔室,其中該頂環係由選自由矽、碳化矽及石英所組成群組中之一材料所製得,或該頂環經選自由矽、碳化矽及石英所組成群組中之一材料所塗覆。
  17. 一種用於在處理腔室中處理基板之方法,包含:傳送一基板至該處理腔室中,該處理腔室具有一製程套件,該製程套件係設置於一基板支持組件上,該製程套件包含:一頂環;以及一底環,該底環經調適而以同心方式支持該頂 環,該頂環及底環之內徑經選擇而環繞一半導體晶圓,該底環具有一連接器,該連接器經配置而將一訊號偶合至該底環以供外部控制該底環之熱狀態及電氣狀態的一者或兩者;設定該製程套件之電氣狀態及熱狀態之一者或兩者;於該處理腔室內形成一電漿;以及在該電漿存在下處理該基板。
  18. 如請求項17所述之方法,進一步包含:設定該處理腔室之一側壁的一第一部分的電氣狀態,獨立於該導電側壁之其他部分。
  19. 如請求項18所述之方法,其中設定該處理腔室之該製程套件或該側壁之電氣狀態包含:調諧施加至該製程套件或該導電側壁之一偏壓。
  20. 如請求項19所述之方法,其中調諧該偏壓包含:改變處理參數,該等參數包含:電容、電感及該偏壓的電阻。
TW102113464A 2012-04-26 2013-04-16 用於控制基板均勻度之方法及設備 TW201344743A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261638940P 2012-04-26 2012-04-26
US201261709593P 2012-10-04 2012-10-04
US13/766,238 US9412579B2 (en) 2012-04-26 2013-02-13 Methods and apparatus for controlling substrate uniformity

Publications (1)

Publication Number Publication Date
TW201344743A true TW201344743A (zh) 2013-11-01

Family

ID=49477679

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102113464A TW201344743A (zh) 2012-04-26 2013-04-16 用於控制基板均勻度之方法及設備

Country Status (6)

Country Link
US (2) US9412579B2 (zh)
JP (1) JP2015522938A (zh)
KR (1) KR20150003887A (zh)
CN (1) CN104205299A (zh)
TW (1) TW201344743A (zh)
WO (1) WO2013162838A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
KR20150131095A (ko) * 2013-03-15 2015-11-24 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버에서 튜닝 링을 사용하여 플라즈마 프로파일을 튜닝하기 위한 장치 및 방법
US9865431B2 (en) 2013-03-15 2018-01-09 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
CN105491780B (zh) * 2014-10-01 2018-03-30 日新电机株式会社 等离子体产生用的天线及具备该天线的等离子体处理装置
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
CN106920725B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 一种聚焦环的温度调整装置及方法
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
JP3210105U (ja) 2016-03-04 2017-04-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ユニバーサルプロセスキット
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
JP6698502B2 (ja) * 2016-11-21 2020-05-27 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20180323042A1 (en) * 2017-05-02 2018-11-08 Applied Materials, Inc. Method to modulate the wafer edge sheath in a plasma processing chamber
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11387134B2 (en) 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
JP7055040B2 (ja) 2018-03-07 2022-04-15 東京エレクトロン株式会社 被処理体の載置装置及び処理装置
CN110323117A (zh) 2018-03-28 2019-10-11 三星电子株式会社 等离子体处理设备
CN110468377B (zh) * 2018-05-11 2022-04-22 北京北方华创微电子装备有限公司 腔室及半导体加工设备
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
CN111383887A (zh) * 2018-12-27 2020-07-07 江苏鲁汶仪器有限公司 一种改善等离子体刻蚀均匀性的装置及方法
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
WO2020163132A1 (en) * 2019-02-04 2020-08-13 Lam Research Corporation Plasma resistant component for a plasma processing chamber
JP7278896B2 (ja) * 2019-07-16 2023-05-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN112435912B (zh) * 2019-08-26 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
JP7454961B2 (ja) * 2020-03-05 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置
US11276601B2 (en) * 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
TW202234461A (zh) * 2020-05-01 2022-09-01 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法
CN112376029B (zh) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 等离子体浸没离子注入设备

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316645A (en) * 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
KR970005035B1 (ko) * 1992-03-31 1997-04-11 마쯔시다덴기산교 가부시기가이샤 플라즈마발생방법 및 그 장치
EP0967632A1 (en) * 1993-07-30 1999-12-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
KR20010089376A (ko) 1998-10-29 2001-10-06 조셉 제이. 스위니 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7988814B2 (en) 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
KR20090050606A (ko) 2007-11-16 2009-05-20 주식회사 하이닉스반도체 플라즈마 식각 장치 및 그를 이용한 식각방법
US20140069584A1 (en) 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
KR101093606B1 (ko) 2009-10-29 2011-12-15 주식회사 뉴파워 프라즈마 기판 처리 효율이 향상된 플라즈마 반응기
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity

Also Published As

Publication number Publication date
US20160329256A1 (en) 2016-11-10
WO2013162838A1 (en) 2013-10-31
US10177050B2 (en) 2019-01-08
US20130288483A1 (en) 2013-10-31
CN104205299A (zh) 2014-12-10
JP2015522938A (ja) 2015-08-06
KR20150003887A (ko) 2015-01-09
US9412579B2 (en) 2016-08-09

Similar Documents

Publication Publication Date Title
TW201344743A (zh) 用於控制基板均勻度之方法及設備
CN206758401U (zh) 控制电容耦合等离子体工艺设备的边缘环的射频振幅
TWI814837B (zh) 電漿處理裝置及電漿處理裝置的射頻電源之控制方法
US8898889B2 (en) Chuck assembly for plasma processing
US9142391B2 (en) Method of manufacturing semiconductor device
US10847347B2 (en) Edge ring assembly for a substrate support in a plasma processing chamber
CN107710378B (zh) 多电极基板支撑组件与相位控制系统
JP4216243B2 (ja) ヘリカル共振器型のプラズマ処理装置
US9021984B2 (en) Plasma processing apparatus and semiconductor device manufacturing method
US20180323042A1 (en) Method to modulate the wafer edge sheath in a plasma processing chamber
US10586686B2 (en) Peripheral RF feed and symmetric RF return for symmetric RF delivery
TW201732922A (zh) 電漿處理方法及電漿處理裝置
US11437223B2 (en) Stage and plasma processing apparatus
JP7066479B2 (ja) プラズマ処理装置
CN109841476A (zh) 半导体制造装置用的部件以及半导体制造装置
TWI519216B (zh) 具有射頻帶輸入之周邊射頻饋給及對稱射頻返回
TW201336353A (zh) 供對稱射頻傳輸之射頻饋給及對稱射頻返回
JP2022534141A (ja) ヒータが一体化されたチャンバリッド