CN104101827A - 一种基于自定时振荡环的工艺角检测电路 - Google Patents

一种基于自定时振荡环的工艺角检测电路 Download PDF

Info

Publication number
CN104101827A
CN104101827A CN201410291341.9A CN201410291341A CN104101827A CN 104101827 A CN104101827 A CN 104101827A CN 201410291341 A CN201410291341 A CN 201410291341A CN 104101827 A CN104101827 A CN 104101827A
Authority
CN
China
Prior art keywords
input
self
reset
output
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410291341.9A
Other languages
English (en)
Other versions
CN104101827B (zh
Inventor
单伟伟
田朝轩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Southeast University
Original Assignee
Southeast University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Southeast University filed Critical Southeast University
Priority to CN201410291341.9A priority Critical patent/CN104101827B/zh
Publication of CN104101827A publication Critical patent/CN104101827A/zh
Priority to PCT/CN2014/095074 priority patent/WO2015196772A1/zh
Priority to US15/321,111 priority patent/US10422830B2/en
Application granted granted Critical
Publication of CN104101827B publication Critical patent/CN104101827B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2882Testing timing characteristics
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2832Specific tests of electronic circuits not provided for elsewhere
    • G01R31/2836Fault-finding or characterising
    • G01R31/2837Characterising or performance testing, e.g. of frequency response
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/03Astable circuits
    • H03K3/0315Ring oscillators

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Manipulation Of Pulses (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明公开一种基于自定时振荡环的工艺角检测电路,包括复位电路、自定时振荡环和计数模块,所述复位电路由两个触发器和一个二输入或门构成;所述自定时振荡环由m个二输入密勒单元和反相器以及一个二输入与门构成,其中m是大于等于3的正整数;所述计数模块由n个带复位端的触发器串联构成,其中n是大于等于3的正整数。本发明提供了一个可以用来检测制造完成的集成电路芯片所处的工艺角的电路,该电路通过自定时振荡环的振荡次数来反映芯片所处的工艺角。在芯片流片前先通过仿真得出自定时振荡环在不同工艺角下的振荡次数,在流片后就可以根据实测的振荡次数来判断芯片处于何工艺角。

Description

一种基于自定时振荡环的工艺角检测电路
技术领域
本发明涉及一种基于自定时振荡环的工艺角检测电路,所有电路元件都可由MOS晶体管构成,用于检测制造完成的集成电路芯片所处的工艺角,属于集成电路设计领域。
背景技术
保证电路的“时序安全”电路中时序路径的时延变化不引起同步失效是确保电路可靠工作的基本条件之一。然而,随着制造工艺持续向纳米尺度推进,工艺、电压、温度(Process-Voltage-Temperature,PVT)等因素的偏差对集成电路时序的影响越来越大,确保时序安全变得愈发困难和代价高昂,使其成为集成电路领域重要的研究课题。为了抑制PVT等因素的偏差对芯片时序的影响,需要对芯片工作时所处的PVT条件进行监测,根据监测到的PVT信息对芯片做相应的调节,从而保证芯片时序始终正确。
在所有影响芯片时序的因素中,工艺偏差是造成时序波动的主要原因之一。2011年国际半导体技术路线图(International Technology Roadmap for Semiconductors,ITRS)中说道:“由于特征尺寸的缩小,设计人员所面临的最关键问题之一是与设计相关的参数的波动性在持续增加,这是由于制造参数的偏差和原子的固有特性影响了沟道掺杂”。工艺偏差由制造工艺的不一致性引起,分为全局偏差和局部偏差。
对于全局偏差,氧化层厚度、掺杂浓度等器件参数的变化对同一芯片中的所有晶体管来说是相同的。不同晶圆或不同批次中的芯片间工艺偏差都属于这一类。相反的,对于局部偏差——也称失配或随机不相关偏差——同一芯片中的每个晶体管所受的影响都不同。
全局偏差描述不同芯片中的器件参数差异,而同一芯片内的器件参数视为相同。通常用不同工艺角下的仿真来评估全局偏差的影响。每个工艺角都处于一种极端的情况,其器件参数基本上都和它们的典型值有所偏差。对于最快工艺角(FastCorner),所有的工艺波动都是增加晶体管的驱动电流的,因此速度最快。但是对于最慢工艺角(SlowCorner),器件速度都被工艺偏差放慢。
工艺偏差对不同芯片时序的影响一般是呈正态分布(均值为μglobal,方差为σglobal),最快和最慢工艺角一般是按σglobal的倍数(如3倍或6倍σ)或分段测试得出的性能统计数据进行定义的。对于分段测试,工艺参数(掺杂浓度、氧化层厚度等)被人为地设定为偏向于制造最慢或最快芯片。除了最快和最慢工艺角,还有一些交叉工艺角,如由最快p沟道晶体管(p-FET)和最慢n沟道晶体管(n-FET)组成,或反之。一般工艺库提供的有FF、FNSP、TT、SNFP、SS五种工艺角。FF表示电路由最快p-FET和最快n-FET组成;TT表示电路由典型p-FET和典型n-FET组成;SS表示电路由最慢p-FET和最慢n-FET组成;FNSP和SNFP属于交叉工艺角,FNSP表示电路由最慢p-FET和最快n-FET组成;SNFP表示电路由最快p-FET和最慢n-FET组成;。交叉工艺角一般在模拟电路中比较关键,但是在数字电路中则是次要的。
局部偏差正随着CMOS工艺尺寸的缩小而增加。晶体管尺寸的减小会使阈值电压的标准差σVT和电流因子σk/k(k=μCoxW/L)增加,因为它们与有源区面积的平方根倒数成正比。
局部偏差对路径延时的影响可以用n个翻转时间(上升或下降时间)为tgate、对应标准方差为σt,gate的相同逻辑门来进行刻画。路径延时td=n×tgate随着n线性增加,但是标准方差σt,d与n的平方根成正比,因此路径延时的相对偏差σt,d/td与n的平方根的倒数成正比。所以路径延时的绝对偏差随路径增长而增加,但是相对偏差则随路径增长减小。
随着工艺制程的演进,在先进工艺下工艺偏差对芯片时序的影响越来越严重。而在芯片制造完成后,每一块芯片可能都处于不同的工艺角,没有相应的工艺角检测手段无法获知芯片具体所处的工艺角。环形振荡器是检测工艺角的最常用结构,其原理是对环形振荡器在一定时间内的振荡次数进行计数,当芯片所处工艺角比较好时,器件的延时较小,环形振荡器在相同时间内的振荡次数较高;而当芯片工艺角情况不好时,振荡次数较低,所以振荡次数的计数结果可以反映芯片所处的工艺角。
传统的环形振荡器一般采用的逻辑结构为奇数个反相器首尾相连成环,利用反相器自身的延时和其反相功能产生振荡波形。这种基于反相器链的环形振荡器,其电路所处工艺角的变化会改变振荡频率,但是电压和温度的变化也会对振荡频率产生影响。虽然可以通过采用输出电压稳定精确的LDO对电路进行供电来消除电压波动的影响,但是温度波动对环形振荡器振荡频率的影响无法消除。通过HSPICE仿真可以发现在不同的工艺角下,环形振荡器的计数结果存在交叠的情况,即在两个工艺角下,当较差的工艺角处于低温而较好的工艺角处于高温条件下时,计数范围发生重合,通过计数结果无法准确区分芯片所处的工艺角。
发明内容
发明目的:目前集成电路制造过程中工艺偏差对芯片时序的影响越来越大,为了降低工艺偏差对芯片时序的影响,需要采取措施抑制工艺偏差的影响,而这首先需要检测出芯片所处的工艺角。本发明的目的就在于提供一种基于自定时振荡环的工艺角检测电路,它可以较为准确的检测出芯片制造完成后具体所处的工艺角,为抑制工艺偏差影响提供可靠的依据。
技术方案:本发明所述的基于自定时振荡环的工艺角检测电路,包括复位电路、自定时振荡环和计数模块。自定时振荡环可以反映出芯片所处工艺角的好坏,当芯片所处工艺角比较好时,自定时振荡环在相同时间内的振荡次数较高;而当芯片工艺角情况不好时,振荡次数较低,所以振荡次数可以反映芯片所处的工艺角。计数模块就是用来对自定时振荡环的振荡次数进行计数,计数模块的计数结果反映了芯片所处工艺角情况的好坏。而复位电路则用来在一次检测完成后对计数模块进行复位,以便进行再次检测;同时复位电路还能设置自定时振荡环的初始状态,保证其能够起振。
所述复位电路由两个触发器DR1、DR2、一个二输入或门OR1、一个二输入或非门NOR1和一个二输入与非门NAND1构成;触发器DR1的数据输入端D连接系统时钟的八分频信号CLK8,时钟端连接系统时钟CLK,数据输出端Q输出信号为CLK8_1,连接触发器DR2的数据输入端D,其反相数据输出端Q的输出信号为CLK8_1n;触发器DR2的时钟端连接系统时钟CLK,数据输出端Q输出信号为CLK8_2,连接二输入或门OR1的一个输入端;二输入或门OR1的另一个输入端连接系统时钟的八分频信号CLK8;二输入或门OR1的输出信号就是计数模块的复位信号RSTn;二输入或非门NOR1的两个输入端分别连接至信号CLK8_1和地,其输出信号为set1;二输入与非门NAND1的两个输入端分别连接至电源VDD和信号CLK8_1n,其输出信号为set0;
所述自定时振荡环由m个二输入密勒单元和反相器以及一个二输入与门AND1构成,其中m是大于等于3的正整数;所构成的自定时振荡环为m级,每一级都由一个密勒单元和一个反相器组成;每一级中反相器的输出连接到该级密勒单元的一个输入端,反相器的输入端则和下一级密勒单元的输出端相连,第m级中反相器的输入端则和第一级密勒单元的输出端相连;第一级中密勒单元的另一个输入端和二输入与门AND1的输出端相连;其余密勒单元的另一个输入端则和前一级密勒单元的输出端相连;二输入与门AND1的一个输入端连接到第m级中密勒单元的输出端,另一个输入端则和触发器DR1的输出端Q相连;第一级中密勒单元的输出信号作为自定时振荡环的输出信号OSC_OUT;
所述计数模块由n个带复位端的触发器串联构成,其中n是大于等于3的正整数;所构成的计数模块为n级,每一级为一个触发器;所有触发器的时钟端都连接到自定时振荡环的输出信号OSC_OUT,复位端都连接到复位电路输出的复位信号RSTn;第一级触发器的数据输入端连接到电源VDD,其后的每一级触发器的数据输入端都连接到前一级触发器的数据输出端。
计数模块中n级触发器输出的数据中1的个数就表示自定时振荡环振荡的次数。
本发明提供了一种基于自定时振荡环的工艺角检测电路,采用由密勒单元和反相器构成的自定时振荡环作为反映工艺角好坏的环形振荡器。由于受到Charlie效应的影响,密勒单元的延时对温度变化有补偿作用,所以自定时振荡环对温度变化不敏感。所谓Charlie效应是指,由于密勒单元的输出在两个输入相同时才会发生改变,所以输出端的翻转需要两个输入端分别发生一次翻转,而密勒单元的两个输入端发生翻转的时间间隔越短,其传播延时越大;反之,两个输入端发生翻转的时间间隔越长,则传播延时越小。在自定时振荡环中,当由于温度的升高使某一级密勒单元的延时增大,其输出端增加的延时导致后一级密勒单元的两个输入端翻转的时间间隔增大,所以后一级密勒单元的传播延时变小,因此前后两级的延时会相互补偿,整个振荡环的延时会相对维持稳定。所以基于自定时振荡环的工艺角检测电路可以较为准确的检测出芯片制造完成后所处的工艺角,从而为抑制工艺偏差的影响提供依据,进而提高芯片工作的稳定性。
本发明与现有技术相比,其有益效果是:
1、本发明全部采用数字CMOS电路构成,相比于采用模拟器件的工艺角检测电路,本发明的电路结构简单,设计过程对EDA工具友好,设计难度较低。
2、本发明在工作电压稳定时,对温度波动的影响不敏感,而对工艺偏差的影响很敏感,相比于采用反相器链作为振荡环的工艺角检测电路,本发明能够较为准确的区分芯片所处的工艺角。
3、本发明具有复位机制,在一次检测完成后,可以对自定时振荡环和计数模块进行复位,重新进行检测。另外自定时振荡环具有使能端,可以在不需要进行工艺角检测时配置使能端,使自定时振荡环停止振荡,降低电路功耗。
附图说明
图1为本发明的电路结构框图;
图2为本发明中带有复位端和置位端的密勒单元的逻辑符号;
图3为本发明中密勒单元的电路图;
图4为本发明中自定时振荡环的电路图;
图5为本发明中的电路在SS工艺角、1.8V、125℃条件下的HSPICE仿真结果图;
具体实施方式
下面对本发明技术方案进行详细说明,但是本发明的保护范围不局限于所述实施例。
实施例1:如图1所示,本发明所述的基于自定时振荡环的工艺角检测电路,包括复位电路、自定时振荡环和计数模块。自定时振荡环至少包括3级密勒单元与反相器,计数模块至少包括3个触发器。在不同的工艺角下,相同时间内自定时振荡环的振荡次数是不同的,计数模块中触发器的个数应大于自定时振荡环在振荡期间的最大振荡次数。当自定时振荡环包括的密勒单元与反相器的级数为9,计数模块包括的触发器级数为32时,详细的实施方案如下:
复位电路如图1中所示,由两个触发器DR1、DR2、一个二输入或门OR1、一个二输入或非门NOR1和一个二输入与非门NAND1构成;触发器DR1的数据输入端D连接系统时钟的八分频信号CLK8,时钟端连接系统时钟CLK,数据输出端Q输出信号为CLK8_1,连接触发器DR2的数据输入端D,其反相数据输出端Q的输出信号为CLK8_1n;触发器DR2的时钟端连接系统时钟CLK,数据输出端Q输出信号为CLK8_2,连接二输入或门OR1的一个输入端;二输入或门OR1的另一个输入端连接系统时钟的八分频信号CLK8;二输入或门OR1的输出信号就是计数模块的复位信号RSTn;二输入或非门NOR1的两个输入端分别连接至CLK8_1和地,其输出信号为set1;二输入与非门NAND1的两个输入端分别连接至电源VDD和CLK8_1n,其输出信号为set0。
自定时振荡环如图4所示,由9个二输入密勒单元和反相器以及一个二输入与门AND1构成;所构成的自定时振荡环为9级,每一级都由一个密勒单元和一个反相器组成;每一级中反相器的输出连接到该级密勒单元的一个输入端,反相器的输入端则和下一级密勒单元的输出端相连,第九级中反相器的输入端则和第一级密勒单元的输出端相连;第一级密勒单元的输入端则和二输入与门AND1的输出端相连,其余级密勒单元的另一个输入端则和前一级密勒单元的输出端相连,二输入与门AND1的一个输入端连接到第九级中密勒单元的输出端,另一个输入端则和触发器DR1的输出端Q相连;第一级中密勒单元的输出信号作为自定时振荡环的输出信号OSC_OUT。
计数模块由32个带复位端的触发器串联构成;所构成的计数模块为32级,每一级为一个触发器;所有触发器的时钟端都连接到自定时振荡环的振荡输出信号OSC_OUT,复位端都连接到复位电路输出的复位信号RSTn;第一级触发器的数据输入端连接到高电平(电源VDD),其后的每一级触发器的数据输入端都连接到前一级触发器的数据输出端。
自定时振荡环的每一级中的密勒单元均带有复位端reset与置位端set,如图2所示,复位端reset对密勒单元的输出值进行清零,置位端set对密勒单元的输出值进行置位,从而设定自定时振荡环的初始状态。
带复位端reset与置位端set的密勒单元由三个NMOS管(MP1、MP2、MP3)、三个PMOS管(MN1、MN2、MN3)和两个反相器(INV1、INV2)构成,其具有两个输入端A和B,一个输出端Z,如图3所示;MP1的源极接电源VDD,栅极接输入信号A,漏极接MP2源极;MP2栅极接输入信号B,漏极接MN1漏极;MN1栅极接输入信号A,源极接MN2漏极;MN2栅极接输入信号B,源极接地GND;MP3的源极接电源VDD,栅极接置位信号set,漏极接MP2漏极与MN1漏极相连的节点Zn;MN3的漏极也连接到Zn,栅极接复位信号reset,源极接地GND;反相器INV1的输入端接Zn,其输出端就是密勒单元的输出端Z;同时Z连接到反相器INV2的输入端,INV2的输出端也连接到Zn;MP1、MP2、MP3与INV1中的PMOS的宽长比都相同,MN1、MN2、MN3与INV1中的NMOS的宽长比也相同,但是INV2中的PMOS与NMOS管的宽长比都分别至少比INV1中的PMOS、NMOS管宽长比小一倍。
自定时振荡环中的前八级密勒单元在振荡前的初始输出状态被设置成0,第九级密勒单元在振荡前的初始输出状态被设置成1;前八级密勒单元的置位端set都连接至NAND1的输出信号set0,复位端reset都连接至地;第九及密勒单元的置位端set与电源VDD相连,复位端reset与NOR1的输出信号set1相连。
计数模块的32级触发器输出的数据中1的个数就表示自定时振荡环振荡的次数;计数模块的计数结果反映了芯片所处工艺角情况的好坏。
基于自定时振荡环的工艺角检测电路设计完成后,利用HSPISE工具进行仿真。工艺角检测模块的HSPICE仿真结果如图5所示,该电路所采用的工艺为SMIC0.18μmCMOS工艺,对应的PVT条件为SS工艺角、1.8V、125℃。图5中CLK为系统时钟,OSC_out为自定时振荡环的输出,Rstn_osc为计数模块复位信号,Counter[31:0]为计数模块中32级触发器的输出。从图中可以看出,在自定时振荡环的使能信号CLK8_1为高电平期间,振荡环有振荡输出,而CLK8_1为低电平时不振荡;复位信号Rstn_osc为CLK8和CLK8_2相或后的值,正好在CLK8_1为低电平的中间两个时钟周期有效;在CLK8_1为高电平期间振荡环共振荡了15次,计数模块的输出值Counter[31:0]为7fff,包含15个1,与振荡环的振荡次数相同。
对工艺角检测模块在不同工艺角、不同温度下仿真,得到的环形振荡器计数结果如表1所示。从表1中可以看出,当芯片处于SS工艺角时,环形振荡器的振荡次数范围为15到17;当芯片处于TT工艺角时,环形振荡器的振荡次数范围为19到21;当芯片处于SS工艺角时,环形振荡器的振荡次数范围为24到27。不同的工艺角下,温度变化时计数结果没有交叠的情况。
表1自定时振荡环在1.8V时不同工艺角和温度下的振荡次数
表1中125℃所对应的是非常极端的情况,而工艺角检测是发生在芯片上电之后、开始工作之前,此时芯片的温度可以看作和环境温度相同,并未达到极端情况。因此在根据振荡环的计数结果来判定工艺角时,可以只考虑正常的环境温度变化范围内的计数结果,即-25℃到50℃之间的情况。具体的判定值如表2所示。
表2计数结果对应的工艺角判定方法
计数结果N 判定结果
16≤N≤17 SS工艺角
18≤N≤19 SS和TT之间的工艺角
20≤N≤21 TT工艺角
22≤N≤24 TT和FF之间的工艺角
25≤N≤27 FF工艺角
由表2可知,当计数结果为16或17时,可判定芯片处于SS工艺角;当计数结果为18或19时,可判定芯片处于SS和TT之间的工艺角;当计数结果处于20到21之间时,可判定芯片处于TT工艺角;当计数结果处于22到24之间时,可判定芯片处于TT和FF之间的工艺角;当计数结果处于25到27之间时,可判定芯片处于FF工艺角。
如上所述,尽管参照特定的优选实施例已经表示和表述了本发明,但其不得解释为对本发明自身的限制。在不脱离所附权利要求定义的本发明的精神和范围前提下,可对其在形式上和细节上做出各种变化。

Claims (4)

1.一种基于自定时振荡环的工艺角检测电路,包括复位电路(1)、自定时振荡环(2)和计数模块(3),其特征在于:
所述复位电路(1)由两个触发器DR1、DR2、一个二输入或门OR1、一个二输入或非门NOR1和一个二输入与非门NAND1构成;触发器DR1的数据输入端连接系统时钟的八分频信号CLK8,时钟端连接系统时钟CLK,数据输出端输出信号为CLK8_1,连接触发器DR2的数据输入端,其反相数据输出端的输出信号为CLK8_1n;触发器DR2的时钟端连接系统时钟CLK,数据输出端输出信号为CLK8_2,连接二输入或门OR1的一个输入端;二输入或门OR1的另一个输入端连接系统时钟的八分频信号CLK8;二输入或门OR1的输出信号就是计数模块的复位信号RSTn;二输入或非门NOR1的两个输入端分别连接至信号CLK8_1和地,其输出信号为set1;二输入与非门NAND1的两个输入端分别连接至电源VDD和信号CLK8_1n,其输出信号为set0;
所述自定时振荡环(2)由m个二输入密勒单元和反相器以及一个二输入与门AND1构成,其中m是大于等于3的正整数;所构成的自定时振荡环为m级,每一级都由一个密勒单元和一个反相器组成;每一级中反相器的输出连接到该级密勒单元的一个输入端,反相器的输入端则和下一级密勒单元的输出端相连,第m级中反相器的输入端则和第一级密勒单元的输出端相连;第一级中密勒单元的另一个输入端和二输入与门AND1的输出端相连;其余密勒单元的另一个输入端则和前一级密勒单元的输出端相连;二输入与门AND1的一个输入端连接到第m级中密勒单元的输出端,另一个输入端则和触发器DR1的输出端Q相连;第一级中密勒单元的输出信号作为自定时振荡环的输出信号OSC_OUT;
所述计数模块(3)由n个带复位端的触发器串联构成,其中n是大于等于3的正整数;所构成的计数模块为n级,每一级为一个触发器;所有触发器的时钟端都连接到自定时振荡环的输出信号OSC_OUT,复位端都连接到复位电路输出的复位信号RSTn;第一级触发器的数据输入端连接到电源VDD,其后的每一级触发器的数据输入端都连接到前一级触发器的数据输出端。
2.根据权利要求1所述的基于自定时振荡环的工艺角检测电路,其特征在于:所述自定时振荡环(2)其每一级中的密勒单元均带有复位端reset与置位端set,复位端reset对密勒单元的输出值进行清零,置位端set对密勒单元的输出值进行置位,用于设定自定时振荡环的初始状态。
3.根据权利要求2所述的基于自定时振荡环的工艺角检测电路,其特征在于:所述带复位端reset与置位端set的密勒单元由三个NMOS管MP1、MP2、MP3、三个PMOS管MN1、MN2、MN3和两个反相器INV1、INV2构成,其具有两个输入端A和B,一个输出端Z;NMOS管MP1的源极接电源VDD,栅极接输入信号A,漏极接NMOS管MP2源极;NMOS管MP2栅极接输入信号B,漏极接PMOS管MN1漏极;PMOS管MN1栅极接输入信号A,源极接PMOS管MN2漏极;PMOS管MN2栅极接输入信号B,源极接地GND;NMOS管MP3的源极接电源VDD,栅极接置位信号set,漏极接NMOS管MP2漏极与PMOS管MN1漏极相连的节点Zn;PMOS管MN3的漏极也连接到Zn,栅极接复位信号reset,源极接地GND;反相器INV1的输入端接Zn,其输出端就是密勒单元的输出端Z;同时Z连接到反相器INV2的输入端,反相器INV2的输出端也连接到Zn;NMOS管MP1、MP2、MP3与反相器INV1中的PMOS的宽长比都相同,PMOS管MN1、MN2、MN3与反相器INV1中的NMOS的宽长比也相同,但是反相器INV2中的PMOS与NMOS管的宽长比都分别至少比反相器INV1中的PMOS、NMOS管宽长比小一倍。
4.根据权利要求2所述的基于自定时振荡环的工艺角检测电路,其特征在于:所述的自定时振荡环(2)中的前m-1级密勒单元在振荡前的初始输出状态被设置成0,第m级密勒单元在振荡前的初始输出状态被设置成1;前m-1级密勒单元的置位端set都连接至二输入与非门NAND1的输出信号set0,复位端reset都连接至地;第m及密勒单元的置位端set与电源VDD相连,复位端reset与二输入或非门NOR1的输出信号set1相连。
CN201410291341.9A 2014-06-25 2014-06-25 一种基于自定时振荡环的工艺角检测电路 Active CN104101827B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201410291341.9A CN104101827B (zh) 2014-06-25 2014-06-25 一种基于自定时振荡环的工艺角检测电路
PCT/CN2014/095074 WO2015196772A1 (zh) 2014-06-25 2014-12-26 一种基于自定时振荡环的工艺角检测电路
US15/321,111 US10422830B2 (en) 2014-06-25 2014-12-26 Process corner detection circuit based on self-timing oscillation ring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410291341.9A CN104101827B (zh) 2014-06-25 2014-06-25 一种基于自定时振荡环的工艺角检测电路

Publications (2)

Publication Number Publication Date
CN104101827A true CN104101827A (zh) 2014-10-15
CN104101827B CN104101827B (zh) 2016-08-31

Family

ID=51670139

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410291341.9A Active CN104101827B (zh) 2014-06-25 2014-06-25 一种基于自定时振荡环的工艺角检测电路

Country Status (3)

Country Link
US (1) US10422830B2 (zh)
CN (1) CN104101827B (zh)
WO (1) WO2015196772A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015196772A1 (zh) * 2014-06-25 2015-12-30 东南大学 一种基于自定时振荡环的工艺角检测电路
CN108027402A (zh) * 2015-09-25 2018-05-11 高通股份有限公司 识别工艺拐点的技术
CN108508340A (zh) * 2017-02-24 2018-09-07 中芯国际集成电路制造(上海)有限公司 一种mos管的工艺角检测方法
CN109581196A (zh) * 2018-12-26 2019-04-05 北京无线电计量测试研究所 一种包含工艺角检测电路的芯片及检测方法
CN109787619A (zh) * 2019-02-26 2019-05-21 上海交通大学 多相位时钟产生电路
CN109945899A (zh) * 2019-03-22 2019-06-28 重庆邮电大学 一种应用于输出缓冲器工艺角补偿的探测编码电路
CN110518884A (zh) * 2019-08-20 2019-11-29 上海交通大学 延时放大器
CN110707014A (zh) * 2019-09-11 2020-01-17 芯创智(北京)微电子有限公司 一种测试芯片工艺角偏移的方法
US11012056B2 (en) 2019-06-11 2021-05-18 Winbond Electronics Corp. Ring oscillator and time measuring circuit
CN113295986A (zh) * 2021-06-30 2021-08-24 湖南国科微电子股份有限公司 一种芯片、芯片工艺角的测量方法及装置
CN114414999A (zh) * 2022-02-28 2022-04-29 北京智芯微电子科技有限公司 一种芯片工艺角检测电路、方法和芯片
CN116582111A (zh) * 2023-05-23 2023-08-11 合芯科技有限公司 震荡环电路及测量时序电路读取时间的装置和方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10394471B2 (en) * 2016-08-24 2019-08-27 Qualcomm Incorporated Adaptive power regulation methods and systems
CN114639610B (zh) * 2020-12-15 2024-06-07 长鑫存储技术有限公司 工艺角检测电路与工艺角检测方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069514A (en) * 1998-04-23 2000-05-30 Sun Microsystems, Inc. Using asynchronous FIFO control rings for synchronous systems
JP3488224B2 (ja) * 2001-11-16 2004-01-19 沖電気工業株式会社 遷移信号制御装置とそれを用いたdmaコントローラ及び遷移信号制御プロセッサ
US7742887B2 (en) * 2003-11-24 2010-06-22 Qualcomm Incorporated Identifying process and temperature of silicon chips
US7330080B1 (en) * 2004-11-04 2008-02-12 Transmeta Corporation Ring based impedance control of an output driver
CN100578244C (zh) * 2006-05-08 2010-01-06 中兴通讯股份有限公司 一种环路振荡器启动电路
JP4162251B2 (ja) * 2006-12-07 2008-10-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体集積回路装置及びそれを備える内部電源制御システム
US8183910B2 (en) * 2008-11-17 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit and method for a digital process monitor
CN102655410B (zh) 2011-03-02 2014-10-29 复旦大学 压控振荡器、用于检测工艺波动的测试系统及其测试方法
US9229054B2 (en) * 2011-09-28 2016-01-05 Intel Corporation Self-contained, path-level aging monitor apparatus and method
US8952705B2 (en) * 2011-11-01 2015-02-10 Nvidia Corporation System and method for examining asymetric operations
CN103513173B (zh) 2012-06-29 2016-04-20 复旦大学 基于压控振荡器的bti测试装置及其测试方法
CN203069745U (zh) * 2012-12-11 2013-07-17 杭州士兰微电子股份有限公司 高精度时钟类芯片输出脉冲时间间隔检测装置
CN103915358B (zh) 2012-12-30 2017-02-08 比亚迪股份有限公司 一种工艺角自动检测装置及方法
CN103116121B (zh) * 2013-01-21 2015-03-25 合肥工业大学 基于自振荡回路的电路老化测试方法
CN104101827B (zh) 2014-06-25 2016-08-31 东南大学 一种基于自定时振荡环的工艺角检测电路

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10422830B2 (en) 2014-06-25 2019-09-24 Southeast University Process corner detection circuit based on self-timing oscillation ring
WO2015196772A1 (zh) * 2014-06-25 2015-12-30 东南大学 一种基于自定时振荡环的工艺角检测电路
CN108027402B (zh) * 2015-09-25 2020-06-16 高通股份有限公司 识别工艺拐点的技术
CN108027402A (zh) * 2015-09-25 2018-05-11 高通股份有限公司 识别工艺拐点的技术
CN108508340A (zh) * 2017-02-24 2018-09-07 中芯国际集成电路制造(上海)有限公司 一种mos管的工艺角检测方法
CN108508340B (zh) * 2017-02-24 2021-02-02 中芯国际集成电路制造(上海)有限公司 一种mos管的工艺角检测方法
CN109581196A (zh) * 2018-12-26 2019-04-05 北京无线电计量测试研究所 一种包含工艺角检测电路的芯片及检测方法
CN109581196B (zh) * 2018-12-26 2021-06-01 北京无线电计量测试研究所 一种包含工艺角检测电路的芯片及检测方法
CN109787619A (zh) * 2019-02-26 2019-05-21 上海交通大学 多相位时钟产生电路
CN109945899B (zh) * 2019-03-22 2021-01-26 重庆邮电大学 一种应用于输出缓冲器工艺角补偿的探测编码电路
CN109945899A (zh) * 2019-03-22 2019-06-28 重庆邮电大学 一种应用于输出缓冲器工艺角补偿的探测编码电路
US11012056B2 (en) 2019-06-11 2021-05-18 Winbond Electronics Corp. Ring oscillator and time measuring circuit
CN110518884A (zh) * 2019-08-20 2019-11-29 上海交通大学 延时放大器
CN110707014A (zh) * 2019-09-11 2020-01-17 芯创智(北京)微电子有限公司 一种测试芯片工艺角偏移的方法
CN110707014B (zh) * 2019-09-11 2021-09-24 芯创智(北京)微电子有限公司 一种测试芯片工艺角偏移的方法
CN113295986A (zh) * 2021-06-30 2021-08-24 湖南国科微电子股份有限公司 一种芯片、芯片工艺角的测量方法及装置
CN114414999A (zh) * 2022-02-28 2022-04-29 北京智芯微电子科技有限公司 一种芯片工艺角检测电路、方法和芯片
WO2023159924A1 (zh) * 2022-02-28 2023-08-31 北京智芯微电子科技有限公司 一种芯片工艺角检测电路、方法和芯片
CN116582111A (zh) * 2023-05-23 2023-08-11 合芯科技有限公司 震荡环电路及测量时序电路读取时间的装置和方法
CN116582111B (zh) * 2023-05-23 2024-02-23 合芯科技有限公司 振荡环电路及测量时序电路读取时间的装置和方法

Also Published As

Publication number Publication date
WO2015196772A1 (zh) 2015-12-30
US20170219649A1 (en) 2017-08-03
US10422830B2 (en) 2019-09-24
CN104101827B (zh) 2016-08-31

Similar Documents

Publication Publication Date Title
CN104101827A (zh) 一种基于自定时振荡环的工艺角检测电路
Nassif et al. A resilience roadmap
Peiravi et al. Current-comparison-based domino: New low-leakage high-speed domino circuit for wide fan-in gates
US7282937B2 (en) On-chip frequency degradation compensation
US20050134394A1 (en) On-chip transistor degradation monitoring
TW201719178A (zh) N型反向器、p型反向器、延遲鏈和環形振盪器
CN106291322A (zh) 一种采用延迟放大结构的cmos电路老化传感器
An et al. All-digital on-chip process sensor using ratioed inverter-based ring oscillator
Takeuchi et al. FEOL/BEOL wear-out estimator using stress-to-frequency conversion of voltage/temperature-sensitive ring oscillators for 28nm automotive MCUs
US20180034452A1 (en) Circuit technique to track cmos device threshold variation
US12025658B2 (en) Circuit structure to measure outliers of process variation effects
US20090063061A1 (en) Monitoring degradation of circiut speed
WO2018058915A1 (zh) 一种时钟信号丢失检测的装置
US11764762B1 (en) Ring oscillator with stages implemented to assess PFET-NFET process performance
JP2016139448A (ja) 半導体装置における信号レベルの調整方法及び半導体装置
WO2023159924A1 (zh) 一种芯片工艺角检测电路、方法和芯片
Wang et al. SRAM read performance degradation under asymmetric NBTI and PBTI stress: Characterization vehicle and statistical aging data
Abbas et al. Sizing and optimization of low power process variation aware standard cells
US20100174503A1 (en) Monitoring NFET/PFET Skew in Complementary Metal Oxide Semiconductor Devices
Tsai et al. Embedded SRAM ring oscillator for in-situ measurement of NBTI and PBTI degradation in CMOS 6T SRAM array
Kaffashian et al. An optimization method for NBTI-aware design of domino logic circuits in nano-scale CMOS
Arasu et al. Asymmetric aging of clock networks in power efficient designs
Notani et al. On-chip digital I dn and I dp measurement by 65 nm CMOS speed monitor circuit
Oh et al. Design and verification of an all-digital on-chip process variation sensor
Yabuuchi et al. Correlation between BTI-induced degradations and process variations by measuring frequency of ROs

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant