CN108508340A - 一种mos管的工艺角检测方法 - Google Patents

一种mos管的工艺角检测方法 Download PDF

Info

Publication number
CN108508340A
CN108508340A CN201710106247.5A CN201710106247A CN108508340A CN 108508340 A CN108508340 A CN 108508340A CN 201710106247 A CN201710106247 A CN 201710106247A CN 108508340 A CN108508340 A CN 108508340A
Authority
CN
China
Prior art keywords
period
nmos
oscillator signal
pmos
tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710106247.5A
Other languages
English (en)
Other versions
CN108508340B (zh
Inventor
黄正太
杨家奇
黄正乙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201710106247.5A priority Critical patent/CN108508340B/zh
Priority to US15/899,567 priority patent/US10527667B2/en
Publication of CN108508340A publication Critical patent/CN108508340A/zh
Application granted granted Critical
Publication of CN108508340B publication Critical patent/CN108508340B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2607Circuits therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2607Circuits therefor
    • G01R31/2621Circuits therefor for testing field effect transistors, i.e. FET's
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2884Testing of integrated circuits [IC] using dedicated test connectors, test elements or test circuits on the IC under test
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/03Astable circuits
    • H03K3/0315Ring oscillators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Abstract

一种MOS管的工艺角检测方法,所述工艺角检测方法包括:提供环形振荡器,所述环形振荡器包括奇数个串联成环的振荡单元,其中一个振荡单元的输出端作为所述环形振荡器的输出端,并输出振荡信号,其中,每一个所述振荡单元基于PMOS管和NMOS管构建;测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间;根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角。本发明可以改善MOS管的工艺角检测的完备性。

Description

一种MOS管的工艺角检测方法
技术领域
本发明涉及电子电路设计领域,特别涉及一种MOS管的工艺角检测方法。
背景技术
与双极型晶体管不同,在不同的晶圆(Wafer)之间以及在不同的批次之间,金属-氧化物-半导体-场效晶体管(Metal Oxide Semiconductor Field Effect Transistor,简称MOSFET,以下简称MOS管)的参数变化很大。为了在一定程度上减轻电路设计任务的困难,工艺工程师们要保证器件的性能在某个标准范围内,对工艺过程进行严格控制,使工艺参数在一定范围内变化。同时对超出这个性能范围的晶圆进行报废处理,以确保器件性能指标满足需求。
在现有技术中,主要采用工艺传感器(Process Sensor)检测MOS管的工艺角(Process Corner),以检测当前工艺对电路的影响,并根据MOS管所在的工艺角对电路进行实时调整。所述工艺传感器可以基于环形振荡器(Ring Oscillator,简称RO)构建,将MOS管作为RO的振荡单元,通过对所述环形振荡器输出的振荡信号的检测确定MOS管所在的工艺角。具体地,每个所述振荡单元可以包括PMOS管和NMOS管,二者可以形成反相器结构。
参见图1,现有技术中的工艺传感器仅针对MOS管的三种工艺角快(fast)、慢(slow)和标准(typical)进行检测,对MOS管的整体性能进行评价。具体地,对每个所述振荡单元中的PMOS管和NMOS管的整体性能进行检测,其检测结果可以包括快NMOS快PMOS(对应图中的FF),慢NMOS慢PMOS(对应图中的SS),标准NMOS标准PMOS(对应图中的TT),这种检测结果对于数字集成电路而言是足够的。然而,对于模拟集成电路而言,上述工艺传感器提供的工艺角检测结果远远不够,模拟集成电路中需要对其内部的NMOS管和PMOS管的性能进行各自评价。
因此,现有技术中对MOS管的工艺角的检测并不完备。
发明内容
本发明解决的技术问题是如何改善MOS管的工艺角检测的完备性。
为解决上述技术问题,本发明实施例提供一种MOS管的工艺角检测方法,包括:提供环形振荡器,所述环形振荡器包括奇数个串联成环的振荡单元,其中一个振荡单元的输出端作为所述环形振荡器的输出端,并输出振荡信号,其中,每一个所述振荡单元基于PMOS管和NMOS管构建;测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间;根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角。
可选地,所述确定所述振荡单元中PMOS管和NMOS管的工艺角包括:确定所述振荡单元中PMOS管和NMOS管的工艺角为以下工艺角中的一种:快NMOS快PMOS,标准NMOS快PMOS,快NMOS标准PMOS,慢NMOS慢PMOS,慢NMOS标准PMOS,标准NMOS慢PMOS,标准NMOS标准PMOS,慢NMOS快PMOS,快NMOS慢PMOS。
可选地,所述根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角包括:将所述振荡信号的周期与第一阈值和第二阈值进行比较,以得到第一比较结果;比较所述振荡信号在每个周期内高电平和低电平的维持时间,以得到第二比较结果;根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角。
可选地,所述第二阈值小于所述第一阈值;所述根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角包括:当所述振荡信号的周期大于所述第一阈值,且所述振荡信号在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS慢PMOS;当所述振荡信号的周期大于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS慢PMOS;当所述振荡信号的周期大于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS标准PMOS;当所述振荡信号的周期小于所述第二阈值,且所述振荡信号在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS快PMOS;当所述振荡信号的周期小于所述第二阈值,且所述振荡信号在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS标准PMOS;当所述振荡信号的周期小于所述第二阈值,且所述振荡信号在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS快PMOS;当所述振荡信号的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS标准PMOS;当所述振荡信号的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS慢PMOS;当所述振荡信号的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS快PMOS。
可选地,所述测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间包括:在预设时间内对所述振荡信号的上升沿或下降沿计数,以得到第一计数值;根据所述第一计数值和所述预设时间计算得到所述振荡信号的周期;在所述振荡信号的上升沿到来时,对时钟信号的上升沿或下降沿进行计数,并在所述振荡信号的下降沿到来时停止计数,以得到第二计数值,在所述振荡信号的下降沿到来时,再次对所述时钟信号的上升沿或下降沿进行计数,并在所述振荡信号的上升沿到来时停止计数,以得到第三计数值,所述时钟信号的周期小于所述振荡信号的周期;根据所述第二计数值、第三计数值和所述时钟信号的周期计算得到所述振荡信号在每个周期内高电平和低电平的维持时间。
可选地,所述测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间之前还包括:对所述振荡信号进行分频。
可选地,所述环形振荡器中的各个PMOS管的导通时间一致,所述环形振荡器中的各个NMOS管的导通时间一致。
可选地,所述振荡单元为反相器。
与现有技术相比,本发明实施例的技术方案具有以下有益效果:
本发明实施例的MOS管的工艺角检测方法可以包括以下步骤:提供环形振荡器,所述环形振荡器包括奇数个串联成环的振荡单元,其中一个振荡单元的输出端作为所述环形振荡器的输出端,并输出振荡信号,其中,每一个所述振荡单元基于PMOS管和NMOS管构建;测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间。本发明实施例工艺角检测方法根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,可以确定所述振荡单元中PMOS管和NMOS管的工艺角为以下九种工艺角中的一种:快NMOS快PMOS,标准NMOS快PMOS,快NMOS标准PMOS,慢NMOS慢PMOS,慢NMOS标准PMOS,标准NMOS慢PMOS,标准NMOS标准PMOS,慢NMOS快PMOS,快NMOS慢PMOS。相比于现有技术方案,本发明方案的工艺角检测方法同时适应于模拟集成电路和数字集成电路,改善了MOS管的工艺角检测的完备性。
附图说明
图1是现有技术中的MOS管的工艺角的检测范围示意图。
图2是一种MOS管的工艺角检测电路的示意性结构框图。
图3是本发明实施例一种MOS管的工艺角检测方法的流程图。
图4是图3所示的MOS管的工艺角检测方法的检测范围示意图。
图5是本发明实施例一种环形振荡器的电路图。
图6是本发明实施例另一种环形振荡器的电路图。
图7是图5所示的振荡信号的工作波形示意图。
图8是图3所示的步骤S102的一种具体实现的流程图。
具体实施方式
如背景技术部分所述,现有技术中的工艺传感器仅针对MOS管的三种工艺角(Process Corner)快(fast)、慢(slow)和标准(typical)进行检测,对MOS管的整体性能进行评价,这种检测结果对于数字集成电路而言是足够的。然而,对于模拟集成电路而言,上述工艺传感器提供的工艺角检测结果远远不够,模拟集成电路中需要对其内部的NMOS管和PMOS管的性能进行各自评价。
首先,本申请发明人对一种MOS管的工艺角检测电路进行了分析。参照图2,图2所示的工艺角检测电路100可以包括环形振荡器(图中未标示)和检测单元10。其中,所述环形振荡器(Ring Oscillator,简称RO)中可以包括奇数个振荡单元(图中未标示),所述振荡单元可以是反相器,其中,每一个所述反相器由NMOS管和PMOS管构成。图2中仅示出了所述环形振荡器包括反相器I1、I2、I3、I4和I5作为示例,为了简化,未示出反相器的内部结构。所述检测单元10耦接所述环形振荡器的输出端,图2中以反相器I5的输出端作为所述环形振荡器的输出端为例,作用于所述环形振荡器的某个振荡单元(图2以反相器I1为例)的输入端的初始信号Initial用于帮助所述环形振荡器起振。
在所述工艺角检测电路100中,所述检测单元10检测所述环形振荡器的输出端的输出信号(图中未标示)的周期,其中,所述输出信号的周期=单个振荡单元(也即反相器)的器件延时×所述环形振荡器包含的振荡单元的数量×2。因此,在所述环形振荡器包含的振荡单元的数量固定的情况下,所述输出信号的周期的大小取决于单个振荡单元的器件延时,而单个振荡单元的器件延时取决于所包括的NMOS管和PMOS管共同表现出的导通速度。在具体实施中,可以设置所述输出信号的周期与阈值范围比较,如果所述输出信号的周期大于所述阈值范围的上限,则确定MOS管处于慢NMOS慢PMOS工艺角,代表MOS管具有较厚的栅氧化层和较高的阈值电压;如果所述输出信号的周期小于所述阈值范围的下限,则确定MOS管处于快NMOS快PMOS工艺角,代表MOS管具有较薄的栅氧化层和较低的阈值电压;如果所述输出信号的周期落入所述阈值范围,则确定MOS管处于标准NMOS标准PMOS工艺角,代表MOS管的栅氧化层和阈值电压均适中。
在数字集成电路中多为门电路,例如由NMOS管和PMOS管共同组成的反相器是数字集成电路的一种基础器件。经由上述工艺角检测电路100得到的工艺角检测结果能够提供的MOS管的性能范围可以适用于数字集成电路。然而,在模拟集成电路中,需要各自提供NMOS管和PMOS管的性能范围,所述工艺角检测电路100提供的工艺角检测结果无法满足模拟集成电路的要求。由上述分析可知,现有技术中针对MOS管的工艺角的检测并不完备。
其次,针对以上所述的技术问题,本发明实施例提出一种工艺角检测方法,基于环形振荡器,根据所述环形振荡器输出的振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角为以下九种工艺角中的一种:快NMOS快PMOS,标准NMOS快PMOS,快NMOS标准PMOS,慢NMOS慢PMOS,慢NMOS标准PMOS,标准NMOS慢PMOS,标准NMOS标准PMOS,慢NMOS快PMOS,快NMOS慢PMOS,实现了对MOS管工艺角的完备检测。
需要说明的是,本发明实施例中的“快”、“标准”和“慢”是相对的,其具体范围可以进行适应性的调整,本发明实施例不做限制。更具体而言,“快”指的是MOS管的响应速度较快,“慢”指的是MOS管的响应速度较慢,“标准”则介于“快”和“慢”之间,例如可以是在预设的标准条件下的响应速度。
为使本发明的上述目的、特征和有益效果能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
图3是本发明实施例一种MOS管的工艺角检测方法的流程图。
图3所示的MOS管的工艺角检测方法可以包括以下步骤:
步骤S101,提供环形振荡器,所述环形振荡器包括奇数个串联成环的振荡单元,其中一个振荡单元的输出端作为所述环形振荡器的输出端,并输出振荡信号,其中,每一个所述振荡单元基于PMOS管和NMOS管构建;
步骤S102,测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间;
步骤S103,根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角。
在本发明实施例中,若要确认NMOS管和PMOS管(例如,当前待检测的晶圆(Wafer)上的NMOS管和PMOS管)所在的工艺角,可以对所述NMOS管和PMOS管各自的导通速度进行检测。进一步而言,不同的工艺偏差,如掺杂浓度、制造时的温度、刻蚀程度等,将会对同一个晶圆上的不同区域的造成不同程度的影响,从而在所述晶圆上形成不同的工艺角。在不同的工艺角下,NMOS管和PMOS管可以表现出不同的导通速度,同时也可以表现出不同的栅氧厚度、阈值电压大小、晶体管驱动电流大小。其中,所述晶体管驱动电流指的是MOS管的源漏电流,也即表示MOS管的载流子迁移率(Carrier mobility)的快慢,所述载流子迁移率是指载流子在单位电场作用下的平均漂移速度。本发明实施例是通过检测NMOS管和PMOS管的导通速度以确定它们各自所处的工艺角的。
参见图3和图4,在所述步骤S103中,所述确定所述振荡单元中PMOS管和NMOS管的工艺角可以包括:确定所述振荡单元中PMOS管和NMOS管的工艺角为以下工艺角中的一种:快NMOS快PMOS(对应图4中的FNFP),标准NMOS快PMOS(对应图4中的TNFP),快NMOS标准PMOS(对应图4中的FNTP),慢NMOS慢PMOS(对应图4中的SNSP),慢NMOS标准PMOS(对应图4中的SNTP),标准NMOS慢PMOS(对应图4中的TNSP),标准NMOS标准PMOS(对应图4中的TNTP),慢NMOS快PMOS(对应图4中的SNFP),快NMOS慢PMOS(对应图4中的FNSP)。通过各自评价晶圆中PMOS管和NMOS管的性能范围,本发明实施例的工艺角检测方法所提供的检测结果可以同时满足数字和模拟集成电路的要求,相比于现有技术方案,改善了MOS管的工艺角检测的完备性。
参照图3和图5,在所述步骤S101中,所述环形振荡器的电路结构可以参照图5,图5示出的环形振荡器200可以包括奇数个串联成环的振荡单元(图中未标示),奇数个所述振荡单元中的一个振荡单元的输出端作为所述环形振荡器的输出端,并输出振荡信号Out,其中,每一个所述振荡单元基于PMOS管和NMOS管构建。
在本发明实施例中,所述振荡单元可以为反相器,但不限于此。例如,所述振荡单元还可以是与非门(Nand)。与非门可以包括两个NMOS管和两个PMOS管,其电路结构是本领域技术人员所熟知的,此处不再赘述。在本发明实施例中,可以设置所述与非门的一个输入端设置为逻辑高电平,使得所述与非门在功能上与反相器等同。同理,所述振荡单元还可以为基于PMOS管和NMOS管构建的任何其他器件、电路或者电路组合,此处不再一一举例。
本发明实施例以所述振荡单元为反相器进行举例说明。为了简化,图5中仅示出了所述环形振荡器包括反相器I1、I2、I3、I4和I5共五个反相器作为示例,并将反相器I5的输出端作为所述环形振荡器的输出端。
其中,所述反相器I1、I2、I3、I4和I5的内部结构参见图6,图6未单独标示出所述反相器I1、I2、I3、I4和I5,其中,所述反相器I1包括PMOS管P1和NMOS管N1,所述反相器I2包括PMOS管P2和NMOS管N2,所述反相器I3包括PMOS管P3和NMOS管N3,所述反相器I4包括PMOS管P4和NMOS管N4,所述反相器I5包括PMOS管P5和NMOS管N5。由于反相器的电路结构为本领域技术人员所熟知,为了简化,此处不再展开描述。
在本发明实施例中,所述环形振荡器中的各个PMOS管的导通时间一致,所述环形振荡器中的各个NMOS管的导通时间一致,所述各个PMOS管的导通时间和所述各个NMOS管的导通时间可以一致,也可以不一致。
在具体实施中,所述步骤S103可以包括:将所述振荡信号Out的周期与第一阈值和第二阈值进行比较,以得到第一比较结果;比较所述振荡信号Out在每个周期内高电平和低电平的维持时间,以得到第二比较结果;根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角。
进一步而言,所述第二阈值小于所述第一阈值;其中,所述第一阈值和第二阈值可以是预设的,二者之间可以定义一个阈值范围,此阈值范围可以根据晶圆上的工艺角的区域标准进行设定,本实施例不进行特殊限制。
所述根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角可以进一步地包括以下步骤:
当所述第一比较结果指示所述振荡信号Out的周期大于所述第一阈值,且所述第二比较结果指示所述振荡信号Out在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS慢PMOS。
继续参见图6,如果所述振荡信号Out的周期大于所述第一阈值,说明所述环路振荡器200中的振荡单元内的NMOS管和PMOS管表现出的整体导通速度较慢,则可以判定所述振荡单元中NMOS管和PMOS管的工艺角为慢NMOS慢PMOS、标准NMOS慢PMOS、慢NMOS标准PMOS中的一个。
根据环形振荡器的特性,在其每一个振荡周期中,每一个振荡单元工作两次,第一次时,每一个振荡单元的输入端接收的信号分别为逻辑高电平和逻辑低电平中的一个,第二次时,每一个振荡单元的输入端接收的信号分别为逻辑高电平和逻辑低电平中的另一个。一般而言,所述振荡信号Out的周期=单个振荡单元的器件延时×所述环形振荡器包含的振荡单元的数量×2,这是在每一个振荡周期中,各个振荡单元工作两次时的器件延时均相等的情况。在本实施例中,在每一个振荡周期中,各个振荡单元工作两次时的器件延时可以相等也可以不等,也即每一个振荡单元中的NMOS管和PMOS管的导通速度可以相等也可以不等。具体而言,一并参照图6和图7,用虚线表示各个PMOS管P1至P5的导通路径,它们各自的器件延时分别记为Tdf1、Tdf2、Tdf3、Tdf4和Tdf5;用实线表示各个NMOS管N1至N3的导通路径,它们各自的器件延时分别记为Tdr1、Tdr2、Tdr3、Tdr4和Tdr5。假设所述各个振荡单元工作第一次时,所述PMOS管P1、NMOS管N2、PMOS管P3、NMOS管N4和PMOS管P5依次导通,则所述振荡信号Out为逻辑低电平,将所述振荡信号Out在每个周期内低电平的维持时间记为Tdf;假设所述各个振荡单元工作第二次时,所述NMOS管N1、PMOS管P2、NMOS管N3、PMOS管P4和PMOS管P5依次导通,则所述振荡信号Out为逻辑高电平,将所述振荡信号Out在每个周期内高电平的维持时间记为Tdr。
在这种情况下,所述振荡信号Out的周期=(单个振荡单元中NMOS管的器件延时+PMOS管的器件延时)×所述环形振荡器包含的振荡单元的数量。进一步而言,如果所述振荡信号Out在每个周期内高电平的维持时间Tdr等于其低电平的维持时间Tdf,则确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS慢PMOS。
同理,在所述振荡信号Out的周期大于所述第一阈值的情况下,如果所述振荡信号Out在每个周期内高电平的维持时间Tdr大于其低电平的维持时间Tdf,则确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS慢PMOS;如果所述振荡信号Out在每个周期内高电平的维持时间Tdr小于其低电平的维持时间Tdf,则确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS标准PMOS。
总结而言,上述确定所述振荡单元中PMOS管和NMOS管的工艺角的方法可以参见表1所示的真值表。在表1中,用SS表示所述振荡信号Out的周期大于所述第一阈值,分别用PF为1、NF为1和EQ为1分别对应地表示所述振荡信号Out在每个周期内高电平的维持时间Tdr小于、大于和等于其低电平的维持时间Tdf。对应地,分别用SNSP、TNSP和SNTP表示所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS慢PMOS、标准NMOS慢PMOS和慢NMOS标准PMOS。
表1
TT SS FF NF PF EQ O0 O1 O1 O3 States
0 0 1 0 0 1 0 0 0 0 FNFP
0 0 1 0 1 0 0 0 0 1 TNFP
0 0 1 1 0 0 0 0 1 0 FNTP
0 1 0 0 0 1 0 0 1 1 SNSP
0 1 0 0 1 0 0 1 0 0 SNTP
0 1 0 1 0 0 0 1 0 1 TNSP
1 0 0 0 0 1 0 1 1 0 TNTP
1 0 0 0 1 0 0 1 1 1 SNFP
1 0 0 1 0 0 1 0 0 0 FNSP
同理,所述根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角还可以进一步地包括以下步骤:
当所述振荡信号Out的周期小于所述第二阈值,对应于表1中的FF,也即所述环路振荡器200中的振荡单元内的NMOS管和PMOS管表现出的整体导通速度较快,且所述振荡信号Out在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS快PMOS,对应于表1中的状态FNFP;
当所述振荡信号Out的周期小于所述第二阈值,且所述振荡信号Out在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS标准PMOS,对应于表1中的状态FNTP;
当所述振荡信号Out的周期小于所述第二阈值,且所述振荡信号Out在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS快PMOS,对应于表1中的状态TNFP;
当所述振荡信号Out的周期大于所述第二阈值且小于所述第一阈值,对应于表1中的TT,也即所述环路振荡器200中的振荡单元内的NMOS管和PMOS管表现出的整体导通速度适中,且所述振荡信号Out在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS标准PMOS,对应于表1中的状态TNTP;
当所述振荡信号Out的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号Out在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS慢PMOS,对应于表1中的状态FNSP;
当所述振荡信号Out的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号Out在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS快PMOS,对应于表1中的状态SNFP。
继续参见表1,还可以用O0、O1、O2和O3的不同值分别表示上述九种工艺角。具体地,所述O0、O1、O2和O3分别为0000、0001、0010、0011、0100、0101、0110、0111和1000分别对应于FNFP、TNFP、FNTP、SNSP、SNTP、TNSP、TNTP、SNFP和FNSP九种工艺角。那么,在具体实施中,可以设置对应于所述O0、O1、O2和O3的检测端口,通过检测所述检测端口的电平状态,即可直接得到所述振荡单元中PMOS管和NMOS管的工艺角。
参见图8,在所述步骤S102中,所述测量所述振荡信号Out的周期,以及所述振荡信号Out在每个周期内高电平和低电平的维持时间可以包括以下步骤:
步骤S1021,在预设时间内对所述振荡信号Out的上升沿或下降沿计数,以得到第一计数值;
步骤S1022,根据所述第一计数值和所述预设时间计算得到所述振荡信号Out的周期;其中,在误差允许的情况下,所述第一计数值表示在所述预设时间内得到了多少个所述振荡信号Out的周期,则有:所述振荡信号Out的周期=所述预设时间÷所述第一计数值;
步骤S1023,在所述振荡信号Out的上升沿到来时,对时钟信号的上升沿或下降沿进行计数,并在所述振荡信号Out的下降沿到来时停止计数,以得到第二计数值,在所述振荡信号Out的下降沿到来时,再次对所述时钟信号的上升沿或下降沿进行计数,并在所述振荡信号Out的上升沿到来时停止计数,以得到第三计数值,所述时钟信号的周期小于所述振荡信号Out的周期;
步骤S1024,根据所述第二计数值、第三计数值和所述时钟信号的周期计算得到所述振荡信号Out在每个周期内高电平和低电平的维持时间。其中,在误差允许的情况下,所述第二计数值×所述时钟信号的周期即为所述振荡信号Out在每个周期内高电平的维持时间,所述第三计数值×所述时钟信号的周期即为所述振荡信号Out在每个周期内低电平的维持时间。
在具体实施中,可以针对所述振荡信号Out的多个周期重复执行所述步骤S1023中的计数动作,并将得到的多个周期内的所述第二计数值、第三计数值和所述时钟信号的周期的乘积进行比较,可使得所述第二比较结果更为可靠,以作为确定所述振荡单元中NMOS管和PMOS管的工艺角的确定依据。
在本发明实施例中,所述步骤S102之前还可以包括以下步骤:对所述振荡信号Out进行分频。由于在对所述振荡信号Out的周期、在每个周期内高电平和低电平的维持时间进行检测时,一般采用计数的方式,那么为了提高计数精度,用于计数的所述时钟信号的频率需要远高于所述振荡信号Out的频率。预先对所述振荡信号Out进行分频,使得其频率降低,那么,在检测时对所述时钟信号的频率的要求也相应地降低,易于实施。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (8)

1.一种MOS管的工艺角检测方法,其特征在于,包括:
提供环形振荡器,所述环形振荡器包括奇数个串联成环的振荡单元,其中一个振荡单元的输出端作为所述环形振荡器的输出端,并输出振荡信号,其中,每一个所述振荡单元基于PMOS管和NMOS管构建;
测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间;
根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角。
2.根据权利要求1所述的MOS管的工艺角检测方法,其特征在于,所述确定所述振荡单元中PMOS管和NMOS管的工艺角包括:
确定所述振荡单元中PMOS管和NMOS管的工艺角为以下工艺角中的一种:快NMOS快PMOS,标准NMOS快PMOS,快NMOS标准PMOS,慢NMOS慢PMOS,慢NMOS标准PMOS,标准NMOS慢PMOS,标准NMOS标准PMOS,慢NMOS快PMOS,快NMOS慢PMOS。
3.根据权利要求2所述的MOS管的工艺角检测方法,其特征在于,所述根据所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间,确定所述振荡单元中PMOS管和NMOS管的工艺角包括:
将所述振荡信号的周期与第一阈值和第二阈值进行比较,以得到第一比较结果,所述第二阈值小于所述第一阈值;
比较所述振荡信号在每个周期内高电平和低电平的维持时间,以得到第二比较结果;
根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角。
4.根据权利要求3所述的MOS管的工艺角检测方法,其特征在于,所述根据所述第一比较结果和第二比较结果,确定所述振荡单元中PMOS管和NMOS管的工艺角包括:
当所述振荡信号的周期大于所述第一阈值,且所述振荡信号在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS慢PMOS;
当所述振荡信号的周期大于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS慢PMOS;
当所述振荡信号的周期大于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS标准PMOS;
当所述振荡信号的周期小于所述第二阈值,且所述振荡信号在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS快PMOS;
当所述振荡信号的周期小于所述第二阈值,且所述振荡信号在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS标准PMOS;
当所述振荡信号的周期小于所述第二阈值,且所述振荡信号在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS快PMOS;
当所述振荡信号的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号在每个周期内高电平和低电平的维持时间相等时,确定所述振荡单元中PMOS管和NMOS管的工艺角为标准NMOS标准PMOS;
当所述振荡信号的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间大于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为快NMOS慢PMOS;
当所述振荡信号的周期大于所述第二阈值且小于所述第一阈值,且所述振荡信号在每个周期内高电平的维持时间小于低电平的维持时间时,确定所述振荡单元中PMOS管和NMOS管的工艺角为慢NMOS快PMOS。
5.根据权利要求1所述的MOS管的工艺角检测方法,其特征在于,所述测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间包括:
在预设时间内对所述振荡信号的上升沿或下降沿计数,以得到第一计数值;
根据所述第一计数值和所述预设时间计算得到所述振荡信号的周期;
在所述振荡信号的上升沿到来时,对时钟信号的上升沿或下降沿进行计数,并在所述振荡信号的下降沿到来时停止计数,以得到第二计数值,在所述振荡信号的下降沿到来时,再次对所述时钟信号的上升沿或下降沿进行计数,并在所述振荡信号的上升沿到来时停止计数,以得到第三计数值,所述时钟信号的周期小于所述振荡信号的周期;
根据所述第二计数值、第三计数值和所述时钟信号的周期计算得到所述振荡信号在每个周期内高电平和低电平的维持时间。
6.根据权利要求1至5任一项所述的MOS管的工艺角检测方法,其特征在于,所述测量所述振荡信号的周期,以及所述振荡信号在每个周期内高电平和低电平的维持时间之前还包括:对所述振荡信号进行分频。
7.根据权利要求1至5任一项所述的MOS管的工艺角检测方法,其特征在于,所述环形振荡器中的各个PMOS管的导通时间一致,所述环形振荡器中的各个NMOS管的导通时间一致。
8.根据权利要求1至5任一项所述的MOS管的工艺角检测方法,其特征在于,所述振荡单元为反相器。
CN201710106247.5A 2017-02-24 2017-02-24 一种mos管的工艺角检测方法 Active CN108508340B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201710106247.5A CN108508340B (zh) 2017-02-24 2017-02-24 一种mos管的工艺角检测方法
US15/899,567 US10527667B2 (en) 2017-02-24 2018-02-20 Detection method and detection device of process corner of mos transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710106247.5A CN108508340B (zh) 2017-02-24 2017-02-24 一种mos管的工艺角检测方法

Publications (2)

Publication Number Publication Date
CN108508340A true CN108508340A (zh) 2018-09-07
CN108508340B CN108508340B (zh) 2021-02-02

Family

ID=63245872

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710106247.5A Active CN108508340B (zh) 2017-02-24 2017-02-24 一种mos管的工艺角检测方法

Country Status (2)

Country Link
US (1) US10527667B2 (zh)
CN (1) CN108508340B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109945899A (zh) * 2019-03-22 2019-06-28 重庆邮电大学 一种应用于输出缓冲器工艺角补偿的探测编码电路
CN113125920A (zh) * 2019-12-27 2021-07-16 中芯国际集成电路制造(上海)有限公司 工艺传感器
CN113295986A (zh) * 2021-06-30 2021-08-24 湖南国科微电子股份有限公司 一种芯片、芯片工艺角的测量方法及装置
CN114414999A (zh) * 2022-02-28 2022-04-29 北京智芯微电子科技有限公司 一种芯片工艺角检测电路、方法和芯片
WO2022127097A1 (zh) * 2020-12-15 2022-06-23 长鑫存储技术有限公司 工艺角检测电路与工艺角检测方法
WO2024007817A1 (zh) * 2022-07-08 2024-01-11 华为技术有限公司 一种具有检测电路的芯片、检测方法及设备

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2020225641A1 (zh) * 2019-05-08 2020-11-12
US11409936B2 (en) 2020-08-11 2022-08-09 Nanya Technology Corporation Standard cell establishment method
CN112507654A (zh) * 2020-11-20 2021-03-16 上海华力微电子有限公司 Mos工艺角spice模型参数获取方法
US11342927B1 (en) 2021-06-28 2022-05-24 Qualcomm Incorporated Ring oscillator based frequency divider

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657466B1 (en) * 2002-05-23 2003-12-02 Cypress Semiconductor Corp. System and method for generating interleaved multi-phase outputs from a nested pair of phase locked loops
US7330080B1 (en) * 2004-11-04 2008-02-12 Transmeta Corporation Ring based impedance control of an output driver
US7627839B1 (en) * 2005-11-14 2009-12-01 National Semiconductor Corporation Process corner indicator and estimation circuit
CN102655410A (zh) * 2011-03-02 2012-09-05 复旦大学 压控振荡器、用于检测工艺波动的测试系统及其测试方法
CN103941178A (zh) * 2014-04-23 2014-07-23 北京大学 一种检测集成电路制造工艺中工艺波动的检测电路
CN104101827A (zh) * 2014-06-25 2014-10-15 东南大学 一种基于自定时振荡环的工艺角检测电路
CN105842604A (zh) * 2015-01-13 2016-08-10 复旦大学 集成电路后端工艺波动检测电路以及检测方法
CN105956322A (zh) * 2016-05-20 2016-09-21 硅谷数模半导体(北京)有限公司 数字电路的工艺角检测装置和方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657466B1 (en) * 2002-05-23 2003-12-02 Cypress Semiconductor Corp. System and method for generating interleaved multi-phase outputs from a nested pair of phase locked loops
US7330080B1 (en) * 2004-11-04 2008-02-12 Transmeta Corporation Ring based impedance control of an output driver
US7627839B1 (en) * 2005-11-14 2009-12-01 National Semiconductor Corporation Process corner indicator and estimation circuit
CN102655410A (zh) * 2011-03-02 2012-09-05 复旦大学 压控振荡器、用于检测工艺波动的测试系统及其测试方法
CN103941178A (zh) * 2014-04-23 2014-07-23 北京大学 一种检测集成电路制造工艺中工艺波动的检测电路
CN104101827A (zh) * 2014-06-25 2014-10-15 东南大学 一种基于自定时振荡环的工艺角检测电路
CN105842604A (zh) * 2015-01-13 2016-08-10 复旦大学 集成电路后端工艺波动检测电路以及检测方法
CN105956322A (zh) * 2016-05-20 2016-09-21 硅谷数模半导体(北京)有限公司 数字电路的工艺角检测装置和方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109945899A (zh) * 2019-03-22 2019-06-28 重庆邮电大学 一种应用于输出缓冲器工艺角补偿的探测编码电路
CN109945899B (zh) * 2019-03-22 2021-01-26 重庆邮电大学 一种应用于输出缓冲器工艺角补偿的探测编码电路
CN113125920A (zh) * 2019-12-27 2021-07-16 中芯国际集成电路制造(上海)有限公司 工艺传感器
CN113125920B (zh) * 2019-12-27 2024-03-22 中芯国际集成电路制造(上海)有限公司 工艺传感器
WO2022127097A1 (zh) * 2020-12-15 2022-06-23 长鑫存储技术有限公司 工艺角检测电路与工艺角检测方法
US11573263B2 (en) 2020-12-15 2023-02-07 Changxin Memory Technologies, Inc. Process corner detection circuit and process corner detection method
CN113295986A (zh) * 2021-06-30 2021-08-24 湖南国科微电子股份有限公司 一种芯片、芯片工艺角的测量方法及装置
CN114414999A (zh) * 2022-02-28 2022-04-29 北京智芯微电子科技有限公司 一种芯片工艺角检测电路、方法和芯片
WO2023159924A1 (zh) * 2022-02-28 2023-08-31 北京智芯微电子科技有限公司 一种芯片工艺角检测电路、方法和芯片
WO2024007817A1 (zh) * 2022-07-08 2024-01-11 华为技术有限公司 一种具有检测电路的芯片、检测方法及设备

Also Published As

Publication number Publication date
US10527667B2 (en) 2020-01-07
US20180246160A1 (en) 2018-08-30
CN108508340B (zh) 2021-02-02

Similar Documents

Publication Publication Date Title
CN108508340A (zh) 一种mos管的工艺角检测方法
CN104101827B (zh) 一种基于自定时振荡环的工艺角检测电路
US7804372B2 (en) Ring oscillator for temperature sensor, temperature sensor circuit, and semiconductor device having the same
TWI548886B (zh) 老化偵測電路及其方法
US6507942B1 (en) Methods and circuits for testing a circuit fabrication process for device uniformity
KR100849208B1 (ko) 링 오실레이터를 구비하는 테스트 회로 및 테스트 방법
JP2011151309A (ja) 半導体集積回路及び電子機器
CN114397561B (zh) 时序错误检测电路、方法以及电子设备
CN110954229A (zh) 温度检测电路、温度检测设备、芯片及电路结构
US8432210B2 (en) Fine-grained clock skew tuning in an integrated circuit
US8952740B2 (en) Pulsed latching apparatus and method for generating pulse signal of pulsed latch thereof
KR20180056761A (ko) 프로세스 코너를 식별하기 위한 기법들
CN110707014B (zh) 一种测试芯片工艺角偏移的方法
WO2018058915A1 (zh) 一种时钟信号丢失检测的装置
JP2008180635A (ja) 半導体装置
US11313906B2 (en) Auto-calibration circuit for pulse generating circuit used in resonating circuits
US20100174503A1 (en) Monitoring NFET/PFET Skew in Complementary Metal Oxide Semiconductor Devices
US11573263B2 (en) Process corner detection circuit and process corner detection method
US11867750B2 (en) Process variation detection circuit and process variation detection method
CN104465615A (zh) 监测源/漏极与栅极接合处漏电流和结电容的结构
US10756711B1 (en) Integrated circuit skew determination
Shirur Efficient Method to Measure Dynamic Temperature Variations in an Non Uniform Heat Dissipated Integrated Chip
US20170276719A1 (en) Semiconductor apparatus and characteristic measurement circuit therefor
JP3962384B2 (ja) 半導体装置及び回路シミュレーション方法
CN114818571A (zh) 改变闸级截断设计的反相器版图

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant