CN103733307B - 用于外延工艺的半导体制造设备 - Google Patents

用于外延工艺的半导体制造设备 Download PDF

Info

Publication number
CN103733307B
CN103733307B CN201280037822.6A CN201280037822A CN103733307B CN 103733307 B CN103733307 B CN 103733307B CN 201280037822 A CN201280037822 A CN 201280037822A CN 103733307 B CN103733307 B CN 103733307B
Authority
CN
China
Prior art keywords
substrate
chamber
epitaxial
substrate holder
semiconductor manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280037822.6A
Other languages
English (en)
Other versions
CN103733307A (zh
Inventor
金荣大
玄俊镇
禹相浩
申承祐
金海元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eugene Technology Co Ltd
Original Assignee
Eugene Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eugene Technology Co Ltd filed Critical Eugene Technology Co Ltd
Publication of CN103733307A publication Critical patent/CN103733307A/zh
Application granted granted Critical
Publication of CN103733307B publication Critical patent/CN103733307B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

根据本发明的一实施例,半导体制造设备包括:清洗腔室,其实施对基板的清洗工艺;外延腔室,其实施在所述基板上形成外延层的外延工艺;以及搬运腔室,其侧面与所述清洗腔室和所述外延腔室连接,并且具备用于向所述外延腔室搬运已结束所述清洗工艺的所述基板的基板处理器。所述外延工艺可以为对多个基板实施的间歇式工艺。

Description

用于外延工艺的半导体制造设备
技术领域
本发明涉及一种半导体制造设备,尤其涉及一种用于在基板上形成外延层的外延工艺的半导体制造设备。
背景技术
常用的选择性外延工艺(selectiveepitaxyprocess)伴随沉积反应及蚀刻反应。沉积及蚀刻反应对多晶层以及外延层以相对不同的反应速度同时发生。沉积工艺中,在至少一个第二层上,在现有的多晶层及/或非晶层沉积的期间,外延层在单晶表面上形成。但是沉积的多晶层一般比外延层以更快的速度蚀刻。因此,通过改变腐蚀气体的浓度,网状选择性工艺(netselectiveprocess)可以实现外延材料的沉积、和受限或不受限的多晶材料的沉积。例如,选择性外延工艺可以实现:沉积物不残留在垫片(spacer)上并在单晶硅表面上形成含硅材料的外延层(epilayer)。
选择性外延工艺一般具有几个缺点。在这种外延工艺中,前驱体的化学浓度及反应温度在沉积工艺上进行调节及调整,以保持选择性。若供应不充足的硅前驱体,则使蚀刻反应活化而导致整体工艺迟缓。另外,会对基板表面的蚀刻产生不利影响。若供应不充足的腐蚀液前驱体,则会使沉积反应在整个基板表面上形成单晶及多晶材料的选择性(selectivity)减少。另外,常用的选择性外延工艺一般需要高反应温度如约800℃、约1000℃、或更高的温度。这种高温会使得在基板表面产生不被控制的氮化反应及热移动(thermalbudge),因此在制造工艺中并不优选。
发明内容
技术课题
本发明的目的在于提供一种能够在基板上形成外延层的半导体制造设备。
本发明的另一目的在于,提供一种能够去除在基板上形成的自然氧化膜,并且能够防止在基板上形成自然氧化膜的半导体制造设备。
本发明的又一目的可以通过下述详细说明和附图进一步明确。
解决课题的方法
根据本发明的一实施例,一种半导体制造设备,其包括:清洗腔室,其实施对基板的清洗工艺;外延腔室,其实施在所述基板上形成外延层的外延工艺;以及搬运腔室,其侧面与所述清洗腔室和所述外延腔室连接,并且具备用于向所述外延腔室搬运已结束所述清洗工艺的所述基板的基板处理器。
所述外延工艺可以为对多个基板实施的间歇式工艺。
所述外延腔室可以具备:反应管,其提供实施所述外延工艺的工艺空间;基板支架,其用于载置所述基板;旋转轴,其连接于所述基板支架并与所述基板支架一同升降,并且向所述基板在所述基板支架内所载置的载置位置、及所述基板支架位于所述工艺空间内的工艺位置移动,并向所述外延工艺期间已设定的方向旋转;以及支撑板,其与所述基板支架一同升降,并且在所述工艺位置与所述反应管的下端部接触而使所述工艺空间与外部隔离。
所述外延腔室还可以具备用于使所述旋转轴升降的升降机、和用于使旋转轴旋转的驱动马达。
所述外延腔室还可以具备:供应管,其设置在放置于所述工艺位置的所述基板支架的一侧,并向所述工艺空间供应反应气体;及排气管,其设置在放置于所述工艺位置的所述基板支架的另一侧,并且用于使所述工艺空间保持真空状态,而且排出所述工艺空间内的未反应气体和反应副产物。
所述供应管可以具有分别位于在所述基板支架载置的所述基板之间的多个供应孔,所述基板支架放置于所述工艺位置;所述排气管可以具有分别位于在所述基板支架载置的所述基板之间的多个排气孔,所述基板支架放置于所述工艺位置。
所述外延腔室还可以具备加热器,所述加热器设置在所述反应管的外侧,并且用于加热所述工艺空间。
所述搬运腔室可以具有使所述基板向所述外延腔室进出的搬运通道,所述外延腔室可以具有使所述基板进出的外延通道;所述半导体制造设备还可以包括用于分隔所述外延腔室和所述搬运腔室的外延侧闸阀。
所述半导体制造设备还可以包括缓冲腔室,所述缓冲腔室与所述搬运腔室的侧面连接,并且具备用于载置所述基板的载置空间;所述基板处理器能够在向所述载置空间依次载置已结束所述清洗工艺的所述基板后,向所述外延腔室搬运所载置的所述基板,并且向所述载置空间依次载置形成有所述外延层的所述基板。
所述载置空间可以具备用于载置已结束所述清洗工艺的所述基板的第一载置空间、和用于载置形成有所述外延层的所述基板的第二载置空间。
发明的效果
根据本发明的一实施例,能够去除在基板上形成的自然氧化膜,而且能够防止在基板上形成自然氧化膜。因此,能够在基板上有效地形成外延层。
附图说明
图1是示意性地示出根据本发明一实施例的半导体制造设备的图。
图2是示出根据本发明一实施例进行处理的基板的图。
图3是示出根据本发明一实施例形成外延层的方法的流程图。。
图4是示出图1所示的缓冲腔室的图。
图5是示出图4所示的基板支架的图。
图6是示出图1所示的清洗腔室的图。
图7是示出图1所示的清洗腔室的另一实施例的图。
图8是示出图1所示的外延腔室的图。
图9是示出图1所示的供应管的图。
具体实施方式
下面,参照图1至图9对本发明优选的实施例进行更详细的说明。本发明的实施例可以以各种形式变形,本发明的范围不应解释为下述的实施例。本实施例是为了对本领域普通技术人员更详细地说明本发明而提供的。因此附图所示的各种要素的形状可以被夸张,以用于强调明确说明。
图1是示意性地示出根据本发明一实施例的半导体制造设备1的图。半导体制造装置1包括:工艺设备2、设备前端模块(EquipmentFrontEndModule:EFEM)3、及界面壁(interfacewall)4。设备前端模块3安装在工艺设备2的前方,用于向容纳有基板S的容器(未图示)和工艺设备2之间搬运晶圆(wafer)W。
设备前端模块3具有多个装载端口(loadports)60和框架(frame)50。框架50位于装载端口60和工艺设备2之间。用于容纳基板S的容器通过搬运单元(未图示)如悬挂式传输机(overheadtransfer)、高架输送机(overheadconveyor)、或者自动导向车量(automaticguidedvehicle)放置于装载端口60上。
容器可以使用密闭用容器如前端开口整合盒(FrontOpenUnifiedPod:FOUP)。在框架50内设置有用于向放置于装载端口60的容器和工艺设备2之间搬运基板S的框架机器70。在框架50内可以设置有用于自动开闭容器门的开门单元(未图示)。另外,在框架50可以设置有向框架50内供应清洁空气以使清洁空气从框架50内上部流向下部的风机过滤单元(FanFilterUnit:FFU)(未图示)。
基板S在工艺设备2内进行规定工艺。工艺设备2包括:搬运腔室(transferchamber)102;装载锁定腔室(loadlockchamber)106;清洗腔室(cleaningchamber)108a、108b;缓冲腔室(bufferchamber)110;及外延腔室(epitaxialchamber)112a、112b、112c。搬运腔室102从上部看时大致具有多角形状,装载锁定腔室106、清洗腔室108a、108b、缓冲腔室110、及外延腔室112a、112b、112c设置在搬运腔室102的侧面。
装载锁定腔室106在搬运腔室102的侧部中位于与设备前端模块3相邻的侧部。基板S暂时停留在装载锁定腔室106内后装载于工艺设备2并实施工艺,在结束工艺后基板S从工艺设备2卸载而暂时停留在装载锁定腔室106内。搬运腔室102、清洗腔室108a、108b、缓冲腔室110、及外延腔室112a、112b、112c保持在真空状态,装载锁定腔室106从真空状态转换成大气压状态。装载锁定腔室106用于防止外部污染物质流入到搬运腔室102、清洗腔室108a、108b、缓冲腔室110、及外延腔室112a、112b、112c。另外,在搬运基板S的期间,基板S不会暴露在大气中,因此能够防止在基板S上形成氧化膜。
在装载锁定腔室106和搬运腔室102之间、以及装载锁定腔室106和设备前端模块3之间设置有中压闸阀(未图示)。当基板S在设备前端模块3和装载锁定腔室106之间移动时,在装载锁定腔室106和搬运腔室102之间设置的闸阀(gatevalve)将关闭,当基板S在装载锁定腔室106和搬运腔室102之间移动时,在装载锁定腔室106和设备前端模块3之间设置的闸阀将关闭。
搬运腔室102具备基板处理器(substratehandler)104。基板处理器104在装载锁定腔室106、清洗腔室108a、108b、缓冲腔室110、及外延腔室112a、112b、112c之间搬运基板S。搬运腔室102在基板S移动时被密封以保持真空状态。保持真空状态是为了防止基板S暴露在污染物(例如,O2、颗粒物等)中。
设置外延腔室112a、112b、112c的目的是在基板S上形成外延层。本实施例中设置有三个外延腔室112a、112b、112c。外延工艺与清洗工艺相比需要更多的时间,因此能够通过多个外延腔室提高制造效率。与本实施例不同,可以设置四个以上或两个以下的外延腔室。
设置清洗腔室108a、108b的目的是在外延腔室112a、112b、112c内实施对基板S的外延工艺之前清洗基板S。为了成功地实施外延工艺,需要使在结晶基板上存在的氧化物的量最小化。基板表面的含氧量过高时,氧原子妨碍沉积材料在籽基板(seedsubstrate)上的晶体学配置,因此外延工艺受到不良影响。例如,在硅外延沉积时,结晶基板上的过量氧气,通过原子单元的氧原子簇,会使硅原子从其外延位置偏向。这种局部的原子偏向在层生长得更厚时会对后续的原子排列产生误差。这种现象也可以被称为所谓的层叠缺陷或者小丘状缺陷(hillockdefects)。基板表面的氧合作用(oxygenation),例如在基板搬运时暴露在大气的情况下产生。因此,用于去除在基板S上形成的自然氧化膜(nativeoxide)(或者表面氧化物)的清洗工艺能够在清洗腔室108a、108b内实施。
清洗工艺是使用自由基状态的氢(H*)和NF3气体的干蚀刻工艺。例如,对在基板表面形成的硅氧化膜进行蚀刻时,在腔室内配置基板并在腔室内形成真空气氛后,在腔室内产生与硅氧化膜反应的中间生成物。
例如,若向腔室内供应氢气的自由基(H*)和如氟化物气体(例如,氟化氮(NF3))的反应性气体,则如下述反应式1所示,反应性气体被还原而产生中间生成物如NHxFy(x、y为任意整数)。
H*+NF3=>NHxFy(1)
由于中间生成物与硅氧化膜(SiO2)的反应性高,因此若中间生成物接触硅基板表面,则如下述反应式2所示,与硅氧化膜选择性地反应而产生反应生成物((NH4)2SiF6)。
NHxFy+SiO2=>(NH42SiF6+H2O(2)
之后,若将硅基板加热到100℃以上,则如下述反应式3所示,反应生成物被热分解而变成热分解气体并蒸发,因此最终可以从基板表面去除硅氧化膜。如下述反应式3所示,热分解气体包括含氟气体如HF气体或SiF4气体。
(NH4)2SiF6=>NH3+HF+SiF4(3)
如上所述,清洗工艺包括产生反应生成物的反应工艺、和将反应生成物热分解的加热工艺,反应工艺和加热工艺可以在清洗腔室108a、108b内一起实施,或可以在清洗腔室108a、108b中的任意一个实施反应工艺并在清洗腔室108a、108b中的另一个实施加热工艺。
缓冲腔室110提供用于载置已结束清洗工艺的基板S的空间、和用于载置已实施外延工艺的基板S的空间。若清洗工艺结束,基板S在向外延腔室112a、112b、112c搬运之前,向缓冲腔室110移动并载置于缓冲腔室110内。外延腔室112a、112b、112c可以为对多个基板实施单一工艺的间歇式(batchtype),若在外延腔室112a、112b、112c内结束外延工艺,已实施外延工艺的基板S依次载置于缓冲腔室110内,已结束清洗工艺的基板S依次载置于外延腔室112a、112b、112c内。此时,基板S能够在缓冲腔室110内以纵向载置。
图2是示出根据本发明一实施例进行处理的基板的图。如上所述,在实施对基板S的外延工艺之前,在清洗腔室108a、108b内实施对基板S的清洗工艺,通过清洗工艺可以去除在基板70的表面形成的氧化膜72。氧化膜在清洗腔室108a、108b内可以通过清洗工艺去除。通过清洗工艺能够使外延表面74暴露在基板70的表面上,从而有助于外延层的生长。
之后,在外延腔室112a、112b、112c内实施在基板70上的外延工艺。外延工艺可以通过化学气相沉积来实施,可以在外延表面74上形成外延层76。基板70的外延表面74可以暴露在包含硅气体(例如,SiCl4、SiHCl3、SiH2Cl2、SiH3Cl、Si2H6、或SiH4)和载气(例如,N2及/或H2)的反应气体。另外,当外延层76需要包括掺杂剂时,含硅气体可以包括含掺杂剂气体(例如,砷化氢(AsH3)、磷化氢(PH3)、及/或乙硼烷(B2H6))。
图3是示出根据本发明一实施例形成外延层的方法的流程图。方法是从步骤S10开始的。在步骤S20中,基板S在实施外延工艺前向清洗腔室108a、108b移动,基板处理器104将基板S搬运至清洗腔室108a、108b。搬运是通过保持真空状态的搬运腔室102来实现的。在步骤S30中,实施对基板S的清洗工艺。如上所述,清洗工艺包括产生反应生成物的反应工艺、和将反应生成物热分解的加热工艺。反应工艺和加热工艺可以在清洗腔室108a、108b内一起实施,或可以在清洗腔室108a、108b中的任意一个实施反应工艺并在清洗腔室108a、108b中的另一个实施加热工艺。
在步骤S40中,已结束清洗工艺的基板S向缓冲腔室110搬运而载置于缓冲腔室110内,在缓冲腔室110内准备进行外延工艺。在步骤S50中,基板S向外延腔室112a、112b、112c搬运,搬运是通过保持真空状态的搬运腔室102来实现的。在步骤S60中,可以在基板S上形成外延层。之后,基板S在步骤S70重新向缓冲腔室110搬运并载置于缓冲腔室110内,并在在步骤S80中结束工艺。
图4是示出图1所示的缓冲腔室的图,图5是示出图4所示的基板支架的图。缓冲腔室110具备上部腔室110a和下部腔室110b。下部腔室110b具备在对应于搬运腔室102的一侧形成的通道110c,基板S通过通道110c从搬运腔室102装载于缓冲腔室110。搬运腔室102具有在对应于缓冲腔室110的一侧形成的缓冲通道102a,在缓冲通道102a和通道110c之间设置有闸阀103。闸阀103可以分隔搬运腔室102和缓冲腔室110,缓冲通道102a和通道110c可以通过闸阀103打开及关闭。
缓冲腔室110具备用于载置基板S的基板支架120,基板S在基板支架120上以纵向载置。基板支架120连接于升降轴122,升降轴122贯通下部腔室110b而与支撑板124和驱动轴128连接。驱动轴128可以通过升降机129进行升降,升降轴122和基板支架120可以通过驱动轴128进行升降。
基板处理器104向缓冲腔室110依次搬运已结束清洗工艺的基板S。此时,基板支架120通过升降机129进行升降,并且通过升降将基板支架120的空着的插槽移动至对应于通道110c的位置。因此,搬运至缓冲腔室110的基板S载置在基板支架120上,通过基板支架120的升降可以使基板S以纵向载置。
另一方面,如图5所示,基板支架120具备上部载置空间120a和下部载置空间120b。如上所述,已结束清洗工艺的基板S和已结束外延工艺的基板S载置于基板支架120上。因此,有必要区分已结束清洗工艺的基板S和已结束外延工艺的基板S,已结束清洗工艺的基板S载置于上部载置空间120a,已结束外延工艺的基板S载置于下部载置空间120b。上部载置空间120a可以载置十三张基板S,整个外延腔室112a、112b、112c可以对十三张基板S进行工艺。相同地,下部载置空间120b可以载置十三张基板S。
下部腔室110b连接于排气线132,缓冲腔室110的内部可以通过排气泵132b保持真空状态。阀132a用于开闭排气线132。波纹管(bellows)126与下部腔室110b的下部和支撑板124连接,缓冲腔室110的内部可以通过波纹管126密封。即,波纹管126用于防止由升降轴122的周围引起的的真空泄漏。
图6是示出图1所示的清洗腔室的图。如上所述,清洗腔室108a、108b可以为进行相同工艺的腔室,下面仅对一个清洗腔室108a进行说明。
清洗腔室108a可以具备上部腔室118a和下部腔室118b,上部腔室118a和下部腔室118b可以以上下形式载置。上部腔室118a和下部腔室118b分别具备在对应于搬运腔室102的一侧形成的上端通道128a和下端通道138a,基板S可以通过上端通道128a和下端通道138a从搬运腔室102分别装载于上部腔室118a和下部腔室118b。搬运腔室102具有在分别与上部腔室118a和下部腔室118b对应的一侧形成的上部通道102b和下部通道102a,在上部通道102b和上端通道128a之间设置有上部闸阀105a,在下部通道102a和下端通道138a之间设置有下部闸阀105b。闸阀105a、105b可以分别分隔上部腔室118a和搬运腔室102、及下部腔室118b和搬运腔室102。上部通道102b和上端通道128a可以通过上部闸阀105a打开及关闭,下部通道102a和下端通道138a可以通过下部闸阀105b打开及关闭。
上部腔室118a中,对基板S进行利用自由基的反应工艺,上部腔室118a与自由基供应线116a和气体供应线116b连接。自由基供应线与填充有自由基生成气体(例如,H2或者NH3)的气体容器(未图示)、和填充有载气(N2)的气体容器(未图示)连接,若打开各气体容器的阀,则自由基生成气体和载气向上部腔室118a的内部供应。另外,自由基供应线116a通过波导管(未图示)与微波源(未图示)连接,若微波源产生微波,则微波经过波导管流入至自由基供应线116a内部。若在该状态下自由基生成气体流过自由基供应线,则会被微波等离子体化而生成自由基。所生成的自由基与未处理的自由基生成气体或载气并与等离子体化的副产物一起流过自由基供应线116a而导入至上部腔室118a的内部。另一方面,与本实施例不同,自由基也可以通过ICP方法的远程等离子体生成。即,若向ICP方法的远程等离子体源供应自由基生成气体,则自由基生成气体被等离子体化而生成自由基。所生成的自由基可以流过自由基供应线116a而导入至上部腔室118a的内部。
通过自由基供应线116a向上部腔室118a内部供应自由基(例如,氢自由基),并通过气体供应线116b向上部腔室118a内部供应反应性气体(例如,氟化物气体如NF3),并混合这些气体使它们发生反应。此时,反应式如下。
H*+NF3=>NHxFy(NH4FH,NH4FHF等)
NHxFy+SiO2=>(NH4F)SiF6+HxO↑
即,预先吸附在基板S表面的反应性气体与自由基反应而产生中间生成物(NHxFy),中间生成物(NHxFy)与基板S表面的自然氧化膜(SiO2)反应而形成反应生成物((NH4F)SiF6)。另一方面,基板S放置于在上部腔室118a内设置的衬托器(susceptor)128,衬托器128在反应工艺期间旋转基板S而有助于实现均匀的反应。
上部腔室118a连接于排气线119a,通过排气泵119c可以在实现反应工艺之前对上部腔室118a进行真空排气,而且能够向外部排出在上部腔室118a内部的自由基和反应性气体、未反应自由基生成气体、在等离子体化时产生的副产物、载气等。阀119b用于开闭排气线119a。
下部腔室118b对基板S进行加热工艺,在下部腔室118b的内侧上部设置有加热器148。若结束反应工艺,则基板S通过基板处理器104向下部腔室118b搬运。此时,基板S通过保持真空状态的搬运腔室102被搬运,因此能够防止基板S暴露在污染物(例如,O2、颗粒物等)中。
加热器148将基板S加热至规定温度(100℃以上的规定温度,例如130℃),由此使反应生成物热分解而使热分解气体如HF或SiF4从基板S表面脱离,并可以通过被真空排气从基板S的表面去除硅氧化物的薄膜。基板S放置于在加热器148的下部设置的衬托器138,加热器148用于加热放置于衬托器138的基板S。
(NH4F)6SiF6=>NH3↑+HF↑+SiF4
另一方面,下部腔室118b连接于排气线117a,通过排气泵117c可以向外部排出下部腔室118b内部的反应副产物(例如,NH3、HF、SiF4)。阀117b用于开闭排气线117a。
图7是示出图1所示的清洗腔室的另一实施例的图。清洗腔室108a具备上部腔室218a和下部腔室218b,上部腔室218a和下部腔室218b彼此连通。下部腔室218b具有在对应于搬运腔室102的一侧形成的通道219,基板S可以通过通道219从搬运腔室102装载于清洗腔室108a。搬运腔室102具有在对应于清洗腔室108a的一侧形成的搬运通道102d,在搬运通道102d和通道219之间设置有闸阀107。闸阀107可以分隔搬运腔室102和清洗腔室108a,搬运通道102d和通道219可以通过闸阀107打开及关闭。
清洗腔室108a具备用于载置基板S的基板支架228,基板S在基板支架228上以纵向载置。基板支架228连接于旋转轴226,旋转轴226贯通下部腔室218b而与升降机232和驱动马达234连接。旋转轴226可以通过升降机232进行升降,基板支架228能够与旋转轴226一同升降。旋转轴226可以通过驱动马达234旋转,基板支架228可以在实施蚀刻工艺的期间与旋转轴226一同旋转。
基板处理器104向清洗腔室108a依次搬运基板S。此时,基板支架228通过升降机232进行升降,并且通过升降将基板支架228的空着的插槽移动至对应于通道219的位置。由此,搬运至清洗腔室108a的基板S载置在基板支架228上,通过基板支架228的升降可以使基板S以纵向载置。基板支架228可以载置十三张基板S。
在基板支架228位于下部腔室218b内的期间,基板S载置于基板支架228内,如图7所示,在基板支架228位于上部腔室218a的期间,实施对基板S的清洗工艺。上部腔室218a提供用于实施清洗工艺的工艺空间。支撑板224设置在旋转轴226上,并与基板支架228一同上升而使上部腔室218a内部的工艺空间与外部隔离。支撑板224配置成与下部腔室218b的上端部相邻,在支撑板224和下部腔室218b的上端部之间插入有密封构件224a(例如,O形环)以封闭工艺空间。在支撑板224和旋转轴226之间设置有轴承构件224b,旋转轴226可以在被轴承构件224b支撑的状态下进行旋转。
对基板S的反应工艺和加热工艺是在上部腔室218a内部的工艺空间内实现的。若基板S均载置于基板支架228,则基板支架228通过升降机232上升而移动至上部腔室218a内部的工艺空间。注入器(injector)216设置在上部腔室218a内部的一侧,注入器216具有多个注入孔216a。
注入器216连接于自由基供应线215a。另外,上部腔室218a连接于气体供应线215b。自由基供应线215a与填充有自由基生成气体(例如,H2或者NH3)的气体容器(未图示)、和填充有载气(N2)的气体容器(未图示)连接,若打开各气体容器的阀,则自由基生成气体和载气通过注入器216向工艺空间供应。另外,自由基供应线215a通过波导管(未图示)与微波源(未图示)连接,若微波源产生微波,则微波经过波导管流入至自由基供应线215a内部。若在该状态下自由基生成气体流过自由基供应线,则会被微波等离子体化而生成自由基。所生成的自由基与未处理的自由基生成气体或载气并与等离子体化的副产物一起流过自由基供应线215a而供应于注入器216,并通过注入器216导入至工艺空间。另一方面,与本实施例不同,自由基也可以通过ICP方法的远程等离子体生成。即,向ICP方法的远程等离子体源供应自由基生成气体时,自由基生成气体被等离子体化而生成自由基。所生成的自由基可以流过自由基供应线215a而导入至上部腔室218a的内部。
通过自由基供应线215a向上部腔室218a内部供应自由基(例如,氢自由基),通过气体供应线215b向上部腔室218a内部供应反应性气体(例如,氟化物气体如NF3),并混合这些气体使它们发生反应。此时,反应式如下。
H*+NF3=>NHxFy(NH4FH,NH4FHF等)
NHxFy+SiO2=>(NH4F)SiF6+H2O↑
即,预先吸附在基板S表面的反应性气体和自由基反应产生中间生成物(NHxFy),中间生成物(NHxFy)和基板S表面的自然氧化膜(SiO2)反应而形成反应生成物((NH4F)SiF6)。另一方面,基板支架228在蚀刻工艺期间旋转基板S而有助于实现均匀的蚀刻。
上部腔室218a连接于排气线217,通过排气泵217b可以在实施反应工艺之前对上部腔室218a进行真空排气,而且能够向外部排出上部腔室218a内部的自由基和反应性气体、未反应自由基生成气体、在等离子体化时产生的副产物、载气等。阀217a用于开闭排气线217。
加热器248设置于上部腔室218a的另一侧,加热器248将结束反应工艺后的基板S加热至规定温度(100℃以上的规定温度,例如130℃)。由此使反应生成物热分解而使热分解气体如HF或SiF4从基板S表面脱离,并可以通过被真空排气基板S的表面去除硅氧化物的薄膜。反应副产物(例如,NH3、HF、SiF4)可以通过排气线217向外部排出。
(NH4F)6SiF6=>NH3↑+HF↑+SiF4
图8是示出图1所示的外延腔室的图,图9是示出图1所示的供应管的图。外延腔室112a、112b、112c可以为进行相同工艺的腔室,下面仅对一个外延腔室112a进行说明。
外延腔室112a具备上部腔室312a和下部腔室312b,上部腔室312a和下部腔室312b彼此连通。下部腔室312b具有在对应于搬运腔室102的一侧形成的通道319,基板S可以通过通道319从搬运腔室102载置于外延腔室112a。搬运腔室102具有在对应于外延腔室112a的一侧形成的搬运通道102e,在搬运通道102e和通道319之间设置有闸阀109。闸阀109可以分隔搬运腔室102和外延腔室112a,搬运通道102e和通道319可以通过闸阀109打开及关闭。
外延腔室112a具备用于载置基板S的基板支架328,基板S在基板支架328上以纵向载置。基板支架328连接于旋转轴318,旋转轴318贯通下部腔室312b而与升降机319a和驱动马达319b连接。旋转轴318可以通过升降机319a进行升降,基板支架328可以与旋转轴318一同升降。旋转轴318可以通过驱动马达319b旋转,基板支架328可以在实施外延工艺的期间与旋转轴318一同旋转。
基板处理器104向外延腔室112a依次搬运基板S。此时,基板支架328通过升降机319a升降,并且通过升降将基板支架328的空着的插槽移动至对应于通道319的位置。因此,搬运至外延腔室112a的基板S载置在基板支架328上,通过基板支架328的升降可以使基板S以纵向载置。基板支架328可以载置十三张基板S。
在基板支架328位于下部腔室312b内的期间,基板S载置于基板支架328内,如图8所示,在基板支架328位于反应管314内的期间,实施对基板S的外延工艺。反应管314提供用于实施外延工艺的工艺的空间。支撑板316设置在旋转轴318上,并与基板支架328一同上升而使反应管314内部的工艺空间与外部隔离。支撑板316配置成与反应管314的下端部相邻,在支撑板316和反应管314的下端部之间插入有密封构件316a(例如,O形环)以封闭工艺空间。在支撑板316和旋转轴318之间设置有轴承构件316b,旋转轴318可以在被轴承构件316b所支撑的状态下进行旋转。
对基板S的外延工艺是在反应管314内部的工艺空间内实现的。供应管332设置在反应管314内部的一侧,排气管334设置在反应管314内部的另一侧。供应管332和排气管334可以以基板S为中心相互对置的方式配置,可以沿着基板S的载置方向以纵向配置。侧部加热器324和上部加热器326设置在反应管314的外侧,并且其用于加热反应管314内部的工艺空间。
供应管332连接于供应线332a,供应线332a连接于反应气体源332c。反应气体储存于反应气体源332c,通过供应线332a供应于供应管332。如图9所示,供应管332可以具备第一及第二供应管332a、332b,第一及第二供应管332a、332b具有沿着长度方向隔开间距而配置的多个供应孔333a、333b。此时,供应孔333a、333b的形成数量可以大致与装载于反应管314的基板S的数量相同,并且可以对应于基板S之间而定位或与基板S不相关地定位。因此,通过供应孔333a、333b所供应的反应气体能够沿着基板S的表面以层流状态(larminarflow)顺畅地流动,可以在基板S已被加热的状态下在基板S上形成外延层。供应线332a能够通过阀332b进行开闭。
另一方面,第一供应管332a可以供应用于沉积的气体(硅气体(例如,SiCl4、SiHCl3、SiH2Cl2、SiH3Cl、Si2H6、或SiH4)和载气(例如,N2及/或H2)),第二供应管332b可以供应用于蚀刻的气体。选择性外延工艺(selectiveepitaxyprocess)伴随沉积反应及蚀刻反应。虽然未在本实施例中示出,当外延层需要包括掺杂剂时,可以增加第三供应管,第三供应管可以供应含掺杂剂气体(例如,砷化氢(AsH3),磷化氢(PH3),及/或乙硼烷(B2H6))。
排气管334连接于排气线335a,并且可以通过排气泵335向外部排出反应管314内部的反应副产物。排气管334具有多个排气孔,与供应孔333a、333b相同地,排气孔可以对应于基板S之间而定位或与基板S不相关地定位。阀335b用于开闭排气线335a。
虽然通过优选的实施例对本发明进行了详细说明,但也可以采用不同形式的实施例。因此,在下述的权利要求书的技术构思和范围并不限于优选的实施例。
产业上的可利用性
本发明可以应用于多种形式的半导体制造设备及制造方法。

Claims (7)

1.一种半导体制造设备,其特征在于,
所述半导体制造设备包括:
清洗腔室,其实施对基板的清洗工艺;
外延腔室,其实施在所述基板上形成外延层的外延工艺;
缓冲腔室,其具有基板支架,所述基板支架具备用于载置所述基板的载置空间;
搬运腔室,其侧面与所述清洗腔室、所述外延腔室和所述缓冲腔室连接,并且具备用于向所述外延腔室搬运已结束所述清洗工艺的所述基板的基板处理器;
其中所述载置空间具备用于载置已结束所述清洗工艺的所述基板的第一载置空间、和用于载置形成有所述外延层的所述基板的第二载置空间;
其中所述第一载置空间和所述第二载置空间彼此竖直地载置;
其中所述基板处理器向所述第一载置空间依次搬运已结束所述清洗工艺的所述基板、向所述外延腔室搬运在所述第一载置空间内所载置的所述基板、并且向所述第二载置空间依次载置形成有所述外延层的所述基板;
其中所述外延腔室具备:
反应管,其提供实施所述外延工艺的工艺空间;
基板支架,其用于载置所述基板;
旋转轴,其连接于所述基板支架并与所述基板支架一同升降,并且向所述基板在所述基板支架内所载置的载置位置、及所述基板支架位于所述工艺空间内的工艺位置移动,并向所述外延工艺期间已设定的方向旋转;以及
支撑板,其与所述基板支架一同升降,并且在所述工艺位置与所述反应管的下端部接触而使所述工艺空间与外部隔离。
2.根据权利要求1所述的半导体制造设备,其特征在于,
所述外延工艺为对多个基板实施的间歇式工艺。
3.根据权利要求1所述的半导体制造设备,其特征在于,
所述外延腔室还具备用于使所述旋转轴升降的升降机、和用于使旋转轴旋转的驱动马达。
4.根据权利要求1所述的半导体制造设备,其特征在于,
所述外延腔室具备:
供应管,其设置在放置于所述工艺位置的所述基板支架的一侧,并向所述工艺空间供应反应气体;及
排气管,其设置在放置于所述工艺位置的所述基板支架的另一侧,并且用于使所述工艺空间保持真空状态,而且用于排出所述工艺空间内的未反应气体和反应副产物。
5.根据权利要求4所述的半导体制造设备,其特征在于,
所述供应管具有分别位于在所述基板支架载置的所述基板之间的多个供应孔,所述基板支架放置于所述工艺位置;
所述排气管具有分别位于在所述基板支架载置的所述基板之间的多个排气孔,所述基板支架放置于所述工艺位置。
6.根据权利要求1所述的半导体制造设备,其特征在于,
所述外延腔室还具备加热器,所述加热器设置在所述反应管的外侧,并且用于加热所述工艺空间。
7.根据权利要求1所述的半导体制造设备,其特征在于,
所述搬运腔室具有使所述基板向所述外延腔室进出的搬运通道,所述外延腔室具有使所述基板进出的外延通道,
所述半导体制造设备还包括用于分隔所述外延腔室和所述搬运腔室的外延侧闸阀。
CN201280037822.6A 2011-08-02 2012-07-31 用于外延工艺的半导体制造设备 Active CN103733307B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020110077100A KR101271247B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비
KR10-2011-0077100 2011-08-02
PCT/KR2012/006105 WO2013019062A2 (ko) 2011-08-02 2012-07-31 에피택셜 공정을 위한 반도체 제조설비

Publications (2)

Publication Number Publication Date
CN103733307A CN103733307A (zh) 2014-04-16
CN103733307B true CN103733307B (zh) 2016-05-25

Family

ID=47629797

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280037822.6A Active CN103733307B (zh) 2011-08-02 2012-07-31 用于外延工艺的半导体制造设备

Country Status (6)

Country Link
US (1) US20140190410A1 (zh)
JP (1) JP5844900B2 (zh)
KR (1) KR101271247B1 (zh)
CN (1) CN103733307B (zh)
TW (1) TWI524455B (zh)
WO (1) WO2013019062A2 (zh)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202126856A (zh) * 2019-09-22 2021-07-16 美商應用材料股份有限公司 多晶圓體積單移送腔室刻面
CN113718331B (zh) * 2021-11-02 2022-02-08 芯三代半导体科技(苏州)有限公司 一种用于碳化硅外延薄膜生长的设备及其控制方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101019210A (zh) * 2004-11-08 2007-08-15 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN101415865A (zh) * 2006-04-07 2009-04-22 应用材料股份有限公司 用于外延膜层形成的集束型设备
KR20090124118A (ko) * 2008-05-29 2009-12-03 주식회사 뉴파워 프라즈마 기판 처리 시스템
KR20100030052A (ko) * 2008-09-09 2010-03-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법
CN101764049A (zh) * 2008-12-24 2010-06-30 株式会社日立国际电气 基板处理装置
TW201123270A (en) * 2009-09-25 2011-07-01 Tg Solar Corp Batch type apparatus for forming epitaxial layer and method for the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JP3122883B2 (ja) * 1989-10-18 2001-01-09 東芝機械株式会社 気相成長装置
JP3200460B2 (ja) * 1992-02-07 2001-08-20 東京エレクトロン株式会社 成膜処理装置
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JPH09295890A (ja) * 1996-04-26 1997-11-18 Mitsubishi Chem Corp 半導体製造装置および半導体製造方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
DE102004024207B4 (de) * 2004-05-10 2016-03-24 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verfahren und Vorrichtung zur Niedertemperaturepitaxie auf einer Vielzahl von Halbleitersubstraten
JPWO2006049225A1 (ja) * 2004-11-08 2008-05-29 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
CN101061253B (zh) * 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2010239115A (ja) * 2009-03-10 2010-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101019210A (zh) * 2004-11-08 2007-08-15 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN101415865A (zh) * 2006-04-07 2009-04-22 应用材料股份有限公司 用于外延膜层形成的集束型设备
KR20090124118A (ko) * 2008-05-29 2009-12-03 주식회사 뉴파워 프라즈마 기판 처리 시스템
KR20100030052A (ko) * 2008-09-09 2010-03-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법
CN101764049A (zh) * 2008-12-24 2010-06-30 株式会社日立国际电气 基板处理装置
TW201123270A (en) * 2009-09-25 2011-07-01 Tg Solar Corp Batch type apparatus for forming epitaxial layer and method for the same

Also Published As

Publication number Publication date
WO2013019062A3 (ko) 2013-06-13
TW201316430A (zh) 2013-04-16
JP2014524658A (ja) 2014-09-22
JP5844900B2 (ja) 2016-01-20
TWI524455B (zh) 2016-03-01
KR101271247B1 (ko) 2013-06-07
US20140190410A1 (en) 2014-07-10
WO2013019062A2 (ko) 2013-02-07
KR20130015222A (ko) 2013-02-13
CN103733307A (zh) 2014-04-16

Similar Documents

Publication Publication Date Title
CN103733307B (zh) 用于外延工艺的半导体制造设备
CN103733309B (zh) 用于外延工艺的半导体制造设备
CN103718273B (zh) 用于外延工艺的半导体制造设备
CN103828024B (zh) 用于外延工艺的半导体制造设备
US9593415B2 (en) Substrate processing apparatus including auxiliary gas supply port
US9620395B2 (en) Apparatus for processing substrate for supplying reaction gas having phase difference
CN104025259A (zh) 包括处理单元的基板处理装置
US9875895B2 (en) Substrate processing apparatus including exhaust ports and substrate processing method
CN103959440A (zh) 包括隔热板的基板处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant