JP2014524658A - エピタキシャルプロセスのための半導体製造設備 - Google Patents

エピタキシャルプロセスのための半導体製造設備 Download PDF

Info

Publication number
JP2014524658A
JP2014524658A JP2014523841A JP2014523841A JP2014524658A JP 2014524658 A JP2014524658 A JP 2014524658A JP 2014523841 A JP2014523841 A JP 2014523841A JP 2014523841 A JP2014523841 A JP 2014523841A JP 2014524658 A JP2014524658 A JP 2014524658A
Authority
JP
Japan
Prior art keywords
substrate
chamber
epitaxial
semiconductor manufacturing
substrate holder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014523841A
Other languages
English (en)
Other versions
JP5844900B2 (ja
Inventor
キム,ヨン−デ
ヒョン,ジュン−ジン
ウ,サン−ホ
シン,スン−ウ
キム,ハイ−ウォン
Original Assignee
ユ−ジーン テクノロジー カンパニー.リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユ−ジーン テクノロジー カンパニー.リミテッド filed Critical ユ−ジーン テクノロジー カンパニー.リミテッド
Publication of JP2014524658A publication Critical patent/JP2014524658A/ja
Application granted granted Critical
Publication of JP5844900B2 publication Critical patent/JP5844900B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本発明の一実施例によれば,半導体製造設備は,基板に対する洗浄プロセスが行われる洗浄チャンバと,前記基板上にエピタキシャル層を形成するエピタキシャルプロセスが行われるエピタキシャルチャンバと,前記洗浄チャンバ及び前記エピタキシャルチャンバが側面に連結され,前記洗浄プロセスが行われた前記基板を前記エピタキシャルチャンバに移送する基板ハンドラを備える移送チャンバと,を含む。前記エピタキシャルプロセスは複数の基板に対して行われるバッチ型であることができる。
【選択図】図1

Description

本発明は,半導体製造設備に関し,より詳細には,基板上にエピタキシャル層(epitaxial layers)を形成するエピタキシャルプロセスのための半導体製造設備に関する。
通常,選択的エピタキシープロセス(selective epitaxy process)は蒸着反応及びエッチング反応を伴う。蒸着及びエッチング反応は多結晶層及びエピタキシャル層に対してわずかに相違する反応速度で同時に起こる。蒸着プロセス中に,少なくとも一つの第2層上に,既存の多結晶層及び/又は非結晶層が蒸着される間,エピタキシャル層は,単結晶表面上に形成される。しかし,蒸着された多結晶層は一般的にエピタキシャル層よりは速い速度でエッチングされる。よって,腐食ガスの濃度を変化させることで,ネット選択プロセス(net selective process)がエピタキシー材料の蒸着と,制限された又は制限されない多結晶材料の蒸着をもたらす。例えば,選択エピタキシープロセスは,蒸着物をスペーサ上に残すことなく,単結晶シリコンの表面上にシリコン含有材料のエピ層(epilayer)の形成をもたらすことができる。
一般に,選択的エピタキシープロセスはいくつかの短所を持つ。このようなエピタキシープロセス中の選択性を維持するために,前駆体の化学的濃度及び反応温度が蒸着プロセス中に調節及び調整されなければならない。不十分なシリコン前駆体が供給されると,エッチング反応が活性化されて全プロセスが遅くなる。また,エッチングにより基板の機能が損なわれることもありうる。不十分な腐食液の前駆体が供給されると,蒸着反応は基板表面にかけて単結晶及び多結晶材料を形成する選択性(selectivity)を減少させることがある。また,通常の選択エピタキシープロセスは約800℃,約1000℃,又はそれより高い温度のような高い反応温度を一般的に要する。この高い温度は基板表面に対する制御できない窒化反応と熱収支(thermal budget)を起こすため製造プロセスでは好ましくない。
本発明の目的は,基板上にエピタキシャル層を形成することのできる半導体製造設備を提供することにある。
本発明の他の目的は基板上に形成された自然酸化膜を除去して基板上に自然酸化膜が形成されることを防止することができる半導体製造設備を提供することにある。
本発明のさらに別の目的は,以下の詳細な説明と添付図面からより明確になるであろう。
本発明の一実施例によれば,半導体製造設備は基板に対する洗浄プロセスが行われる洗浄チャンバと,前記基板上にエピタキシャル層を形成するエピタキシャルプロセスが行われるエピタキシャルチャンバと,前記洗浄チャンバ及び前記エピタキシャルチャンバが側面に連結され,前記洗浄プロセスが行われた前記基板を前記エピタキシャルチャンバに移送する基板ハンドラを備える移送チャンバと,を含む。
前記エピタキシャルプロセスは複数の基板に対して行われるバッチ型であることができる。
前記エピタキシャルチャンバは,前記エピタキシャルプロセスが行われる処理空間を提供する反応チューブと;,前記基板が積載される基板ホルダと;,前記基板ホルダに連結されて前記基板ホルダと共に昇降し,前記基板ホルダ内に前記基板を積載する積載位置及び前記基板ホルダを前記処理空間内に位置する処理位置に移動すると共に,前記エピタキシャルプロセス中,既定の方向に回転する回転軸と;,前記基板ホルダと共に昇降し,前記処理位置にて前記反応チューブの下端部に接触して前記処理空間を外部から遮断する支持板と;,を備えることができる。
前記エピタキシャルチャンバは前記回転軸を昇降するエレベータ及び前記回転軸を回転させる駆動モータを更に備えることができる。
前記エピタキシャルチャンバは,前記処理位置に配置された前記基板ホルダの一側に設けられ,前記処理空間に向かって反応ガスを供給する供給管と,前記処理位置に配置された前記基板ホルダの他側に設けられ,前記処理空間を真空状態に維持し前記処理空間内の未反応ガス及び反応副産物を排気する排気管と,を更に備えることができる。
前記供給管は前記処理位置に配置された前記基板ホルダに積載された前記基板間にそれぞれ位置する複数の供給孔を有し,前記排気管は前記処理位置に配置された前記基板ホルダに積載された前記基板間にそれぞれ位置する複数の排気孔を有することができる。
前記エピタキシャルチャンバは前記反応チューブの外側に設けられて前記処理空間を加熱するヒータを更に含めることができる。
前記移動チャンバは前記エピタキシャルチャンバに向かって前記基板が出入する移動通路を有し,前記エピタキシャルチャンバは前記基板が出入するエピタキシャル通路を有し,前記半導体製造設備は前記エピタキシャルチャンバと前記移動チャンバを隔離するエピタキシャル側のゲート弁を更に含むことができる。
前記半導体製造設備は,前記移動チャンバの側面に連結されて前記基板を積載する積載空間を備えるバッファチャンバを更に含み,前記基板ハンドラは前記洗浄プロセスが行われた前記基板を前記積載空間に順次積載した後,積載された前記基板を前記エピタキシャルチャンバに移動し,前記エピタキシャル層が形成された前記基板を前記積載空間に順次積載することができる。
前記積載空間は前記洗浄プロセスが行われた前記基板が積載される第1積載空間と前記エピタキシャル層が形成された前記基板が積載される第2積載空間を備えることができる。
本発明の一実施例によると,基板上に形成された自然酸化膜を除去することができるのみならず,基板上に自然酸化膜が形成されることを防止できる。よって,基板上に効果的にエピタキシャル層を形成することができる。
本発明の一実施例による半導体製造設備を概略的に示す図である。 本発明の一実施例によって処理された基板を示す図である。 本発明の一実施例によってエピタキシャル層を形成する方法を示すフローチャートである。 図1に示したバッファチャンバを示す図である。 図4に示した基板ホルダを示す図である。 図1に示した洗浄チャンバを示す図である。 図1に示した洗浄チャンバの他の実施例を示す図である。 図1に示したエピタキシャルチャンバを示す図である。 図1に示した供給管を示す図である。
以下,本発明の好ましい実施例を添付の図1ないし図9を参照してより詳しく説明する。本発明の実施例は,多様な形態に変更することができ,本発明の範囲が以下で説明する実施例に限定されると解釈してはならない。本実施例は当該発明が属する技術分野において通常の知識を有する者に本発明をより詳しく説明するために提供されるものである。よって,図面に示された各要素の形状はより明確な説明を強調するために誇張されることがある。
図1は,本発明の一実施例による半導体製造設備1を概略的に示す図である。半導体製造設備1は処理装置2,設備前方端部モジュール(Equipment Front End Module:EFFM)3,及び境界壁(interface wall)4を含む。設備前方端部モジュール3は処理装置2の前方に装着されて,基板Sが受容された容器(図示せず)と処理装置2との間でウエハWを移送する。
設備前方端部モジュール3は,複数のロードポート(load ports)60とフレーム(frame)50を有する。フレーム50は,ロードポート60と処理装置2との間に位置する。基板Sを収容する容器は,オーバーヘッドトランスファ(overhead transfer),オーバーヘッドコンベヤ(overhead conveyor),又は自動案内車両(automatic guided vehicle)のような移送手段(図示せず)によってロードポート60上に配置される。
容器は,前面開放一体式ポッド(Front Open Unified Pod:FOUP)のような密閉用容器を使用することができる。フレーム50内には,ロードポート60に配置された容器と処理装置2との間で基板Sを移送するフレームロボット70が設置される。フレーム50内には,容器のドアを自動で開閉するドアオープナ(図示せず)を設けることができる。また,フレーム50には,清浄な空気がフレーム50内の上部から下部に流れるように清浄な空気をフレーム50内に供給するファンフィルタユニット(Fan Filter Unit:FFU)(図示せず)を設けることができる。
基板Sは,処理装置2内で所定の処理が行われる。処理装置2は,移送チャンバ(transfer chamber)102,ロードロックチャンバ(loadlock chamber)106,洗浄チャンバ(cleaning chamber)108a,108b,バッファチャンバ(buffer chamber)110,及びエピタキシャルチャンバ(epitaxial chamber)112a,112b,112cを含む。移送チャンバ102は上部から見たとき実質的に多角形状を有し,ロードロックチャンバ106,洗浄チャンバ108a,108b,バッファチャンバ110,及びエピタキシャルチャンバ112a,112b,112cは移送チャンバ102の側面に設置される。
ロードロックチャンバ106は,移送チャンバ102の側部のうち,設備前方端部モジュール3と隣接した側部に位置する。基板Sは,ロードロックチャンバ106内に一時的に留まった後,処理装置2に装填されて処理が行われ,処理が行われた後の基板Sは処理装置2から排出されてロードロックチャンバ106内に一時的に留まる。移送チャンバ102,洗浄チャンバ108a,108b,バッファチャンバ110,及びエピタキシャルチャンバ112a,112b,112cは真空に維持され,ロードロックチャンバ106は,真空状態から大気圧状態に転換される。ロードロックチャンバ106は,外部汚染物質が移送チャンバ102,洗浄チャンバ108a,108b,バッファチャンバ110,及びエピタキシャルチャンバ112a,112b,112cに流入することを防止する。また,基板Sの移送中,基板Sが大気に曝されないので,基板S上に酸化膜が成長することを防止できる。
ロードロックチャンバ106と移送チャンバ102間,及びロードロックチャンバ106と設備前方端部モジュール3間にはゲート弁(図示せず)が設けられる。設備前方端部モジュール3とロードロックチャンバ106間を基板Sが移動する場合,ロードロックチャンバ106と移動チャンバ102間に設けたゲート弁が閉められ,ロードロックチャンバ106と移送チャンバ102間を基板Sが移動する場合,ロードロックチャンバ106と設備前方端部モジュール3との間に設けたゲート弁を閉める。
移送チャンバ102は基板ハンドラ104を備える。基板ハンドラ104は,ロードロックチャンバ106,洗浄チャンバ108a,108b,バッファチャンバ110,及びエピタキシャルチャンバ112a,112b,112cの間で基板Sを移送する。移送チャンバ102は,基板Sが移動する際に真空を維持するよう密封される。真空を維持する理由は基板Sが汚染物(例えば,O2,粒子状物質など)に曝されることを防ぐためである。
エピタキシャルチャンバ112a,112b,112cは,基板S上にエピタキシャル層を形成するために設けられる。本実施例においては3個のエピタキシャルチャンバ112a,112b,112cが設けられている。エピタキシャルプロセスは,洗浄プロセスに比べて多くの時間を要するので,複数のエピタキシャルチャンバを介して製造収率を向上させることができる。本実施例とは異なり,4個以上や2個以下のエピタキシャルチャンバを設けてもよい。
洗浄チャンバ108a,108bは,エピタキシャルチャンバ112a,112b,112c内で基板Sに対するエピタキシャルプロセスが行われる以前に基板Sを洗浄するために設けられる。エピタキシャルプロセスが正常に行われるためには結晶性基板上に存在する酸化物の量を最小化しなければならない。基板の表面酸素含有量が高すぎる場合,酸素原子がシード基板上の蒸着材料の結晶学的配置を妨害するために,エピタキシャルプロセスは悪影響を受ける。例えば,シリコンエピタキシャル蒸着時に,結晶性基板上の過度な酸素は,原子単位の酸素原子クラスタにより,シリコン原子をそのエピタキシャル位置から変位させることができる。このような局所的な原子変位は,層がより厚く成長するとき後続原子配列に誤差を起こす恐れがある。この現象はいわゆる積層欠陥又はヒロック(hillock defects)と称しえる。基板表面の酸素化(oxygenation)は,例えば基板が移送時に大気に曝される場合に発生し得る。よって,基板S上に形成された自然酸化膜(native oxide)(又は,表面酸化物)を除去する洗浄プロセスを洗浄チャンバ108a,108b内で行うことができる。
洗浄プロセスは,ラジカル状態の水素(H)とNF3ガスとを使用する乾式エッチングプロセスである。例えば,基板の表面に形成されたシリコン酸化膜をエッチングする場合,チャンバ内に基板を配置しチャンバ内に真空雰囲気を形成した後,チャンバ内でシリコン酸化膜と反応する中間生成物を発生させる。
例えば,チャンバ内に水素ガスのラジカル(H)とフッ化物ガス(例えば,フッ化窒素(NF3))のような反応性ガスを供給すると,下記の反応式(1)のように反応性ガスが還元されてNHxy(x,yは任意の整数)のような中間生成物が生成される。
+NF3 → NHxy (1)
中間生成物はシリコン酸化膜(SiO2)との反応性が高いため,中間生成物がシリコン基板の表面に到達するとシリコン酸化膜と選択的に反応して下記の反応式(2)のように反応生成物((NH42SiF6)が生成される。
NHxy+SiO2 → (NH42SiF6+H2O (2)
その後,シリコン基板を100℃以上に加熱すると下記の反応式3のように反応生成物が熱分解して熱分解ガスになって蒸発するので,結果的に,基板表面からシリコン酸化膜を除去することができる。下記の反応式(3)のように,熱分解ガスにはHFガスやSiF4ガスのようなフッ素を含有するガスが含まれる。
(NH42SiF6 → NH3+HF+SiF4 (3)
上述のように,洗浄プロセスは反応生成物を生成する反応プロセス及び反応生成物を熱分解するヒーティングプロセスを含み,反応プロセス及びヒーティングプロセスは洗浄チャンバ108a,108b内で共に行ってもよく,洗浄チャンバ108a,108bのうちいずれか一つで反応プロセスを行い,洗浄チャンバ108a,108bのうち他の一つでヒーティングプロセスを行ってもよい。
バッファチャンバ110は,洗浄プロセスが行われた基板Sが積載される空間とエピタキシャルプロセスが行われた基板Sが積載される空間を提供する。洗浄プロセスが行われると,基板Sはエピタキシャルチャンバ112a,112b,112cに移送される前にバッファチャンバ110に移動されてバッファチャンバ110内に積載される。エピタキシャルチャンバ112a,112b,112cは,複数の基板に対する単一処理が行われるバッチ型(batch type)であってもよく,エピタキシャルチャンバ112a,112b,112c内でエピタキシャルプロセスが行われると,エピタキシャルプロセスが行われた基板Sはバッファチャンバ110内に順次積載され,洗浄プロセスが行われた基板Sは,エピタキシャルチャンバ112a,112b,112c内に順次積載される。この際,基板Sをバッファチャンバ110内に縦方向に積載することができる。
図2は,本発明の一実施例によって処理された基板を示す図である。上述したように,基板Sに対するエピタキシャルプロセスが行われる以前に,基板Sに対する洗浄プロセスが洗浄チャンバ108a,108b内で行われ,洗浄プロセスを介して基板70の表面に形成された酸化膜72を除去できる。酸化膜は,洗浄チャンバ108a,108b内で洗浄プロセスを介して除去することができる。洗浄プロセスを介して基板70の表面上にエピタキシー表面74を露出させることができ,これによりエピタキシャル層の成長を助長する。
その後,基板70上に,エピタキシャルプロセスがエピタキシャルチャンバ112a,112b,112c内で行われる。エピタキシャルプロセスは,化学気相蒸着により行うことができ,エピタキシー表面74上にエピタキシャル層76を形成することができる。基板70のエピタキシー表面74は,シリコンガス(例えば,SiCl4,SiHCl3,SiH2Cl2,SiH3Cl,Si26,またはSiH4)及びキャリアガス(例えば,N2及び/またはH2)を含む反応ガスに曝露することができる。また,エピタキシャル層76にドーパントを含めることが要求される場合,シリコン含有ガスにドーパント含有ガス(例えば,アルシン(AsH3),ホスフィン(PH3),及び/又はジボラン(B26))を含めることができる。
図3は,本発明の一実施例によってエピタキシャル層を形成する方法を示すフローチャートである。この方法はステップS10から開始する。ステップS20で,基板Sはエピタキシャルプロセス前に洗浄チャンバ108a,108bに移動し,基板ハンドラ104は基板Sを洗浄チャンバ108a,108bに移送する。移送は真空に維持された移送チャンバ102を介して行われる。ステップS30で,基板Sに対する洗浄プロセスが行われる。上述したように,洗浄プロセスは反応生成物を生成する反応プロセス及び反応生成物を熱分解するヒーティングプロセスを含む。反応プロセス及びヒーティングプロセスは洗浄チャンバ108a,108b内で共に行ってもよく,洗浄チャンバ108a,108bのうちいずれか一つで反応プロセスを行い,洗浄チャンバ108a,108bのうち他の一つでヒーティングプロセスを行ってもよい。
ステップS40で,洗浄プロセスが行われた基板Sはバッファチャンバ110に移送されてバッファチャンバ110内に積載され,バッファチャンバ110内でエピタキシャルプロセスを待機する。ステップS50で基板Sはエピタキシャルチャンバ112a,112b,112cに移送され,この移送は真空に維持される移送チャンバ102を介して行われる。ステップS60では基板S上にエピタキシャル層を形成することができる。その後,基板SはステップS70で更にバッファチャンバ110に移送されてバッファチャンバ110内に積載され,ステップS80で処理が終了する。
図4は,図1に示したバッファチャンバを示す図であり,図5は,図4に示した基板ホルダを示す図である。バッファチャンバ110は,上部チャンバ110aと下部チャンバ110bを備える。下部チャンバ110bは移送チャンバ102に対応する一側に形成された通路110cを備え,基板Sは通路110cを介して移送チャンバ102からバッファチャンバ110に装填される。移送チャンバ102はバッファチャンバ110に対応する一側に形成されたバッファ通路102aを有し,バッファ通路102aと通路110cとの間にはゲート弁103が設けられる。ゲート弁103は移送チャンバ102とバッファチャンバ110を隔離することができ,バッファ通路102aと通路110cはゲート弁103を介して開放及び閉鎖することができる。
バッファチャンバ110は基板Sが積載される基板ホルダ120を備え,基板Sは基板ホルダ120上に縦方向に積載される。基板ホルダ120は昇降軸122に連結され,昇降軸122は下部チャンバ110bを貫通して支持板124及び駆動軸128に連結される。駆動軸128はエレベータ129を介して昇降し,駆動軸128により昇降軸122及び基板ホルダ120は昇降することができる。
基板ハンドラ104は,洗浄プロセスが行われた基板Sをバッファチャンバ110に順次移送する。この際,基板ホルダ120は,エレベータ129により昇降し,この昇降によって基板ホルダ120の空いているスロットを通路110cと対応する位置に移動する。よって,バッファチャンバ110に移送された基板Sは基板ホルダ120上に積載され,基板ホルダ120の昇降により基板Sを縦方向に積載することができる。
一方,図5に示すように,基板ホルダ120は上部積載空間120aと下部積載空間120bとを備える。上述のように,洗浄プロセスが行われた基板S及びエピタキシャルプロセスが行われた基板Sは基板ホルダ120上に積載される。よって,洗浄プロセスが行われた基板Sとエピタキシャルプロセスが行われた基板Sは区別する必要があり,洗浄プロセスが行われた基板Sは上部積載空間120aに積載され,エピタキシャルプロセスが行われた基板Sは下部積載空間120bに積載される。上部積載空間120aは,13枚の基板Sを積載することができ,一つのエピタキシャルチャンバ112a,112b,112cは,13枚の基板Sに対する処理を行うことができる。同様に,下部積載空間120bは,13枚の基板Sを積載することができる。
下部チャンバ110bは排気ライン132に連結され,排気ポンプ132bを介してバッファチャンバ110の内部の真空状態を維持することができる。弁132aは排気ライン132を開閉する。ベローズ126は,下部チャンバ110bの下部と支持板124を連結し,ベローズ126を介してバッファチャンバ110の内部を密封することができる。即ち,ベローズ126は昇降軸122の周りからの真空漏出を防止する。
図6は,図1に示した洗浄チャンバを示す図である。上述したように,洗浄チャンバ108a,108bは同じ処理を行うチャンバであってもよく,以下では一つの洗浄チャンバ108aに対してだけ説明する。
洗浄チャンバ108aは上部チャンバ118aと下部チャンバ118bを備え,上部チャンバ118aと下部チャンバ118bは,上下に積載することができる。上部チャンバ118a及び下部チャンバ118bは,移送チャンバ102に対応する一側に形成された上部通路128a及び下部通路138aをそれぞれ備え,基板Sは,上部通路128a及び下部通路138aを介して移送チャンバ102から上部チャンバ118a及び下部チャンバ118bにそれぞれ装填することができる。移送チャンバ102は,上部チャンバ118a及び下部チャンバ118bにそれぞれ対応する一側に形成された上部通路102b及び下部通路102aを有し,上部通路102bと上部通路128aとの間には,上部ゲート弁105aが設置され,下部通路102aと下部通路138aとの間には,下部ゲート弁105bが設置される。ゲート弁105a,105bは,上部チャンバ118aと移送チャンバ102,及び下部チャンバ118bと移送チャンバ102とをそれぞれ隔離することができる。上部通路102bと上部通路128aは,上部ゲート弁105aを介して開放及び閉鎖することができ,下部通路102aと下部通路138aは下部ゲート弁105bを介して開放及び閉鎖することができる。
上部チャンバ118aは,基板Sに対してラジカルを利用した反応プロセスを行い,上部チャンバ118aはラジカル供給ライン116a及びガス供給ライン116bに連結される。ラジカル供給ラインは,ラジカル生成ガス(例えば,H2又はNH3)が充填されたガス容器(図示せず)とキャリアガス(N2)が充填されたガス容器(図示せず)に連結され,各ガス容器の弁を開放するとラジカル生成ガスとキャリアガスが上部チャンバ118aの内部に供給される。また,ラジカル供給ライン116aは導波管(図示せず)を介してマイクロ波源(図示せず)に連結され,マイクロ波源がマイクロ波を発するとマイクロ波は導波管を進行してラジカル供給ライン116aの内部に侵入する。この状態でラジカル生成ガスが流れると,マイクロ波によりプラズマ化されてラジカルが生成される。生成されたラジカルは未処理のラジカル生成ガスやキャリアガス,及びプラズマ化の副生成物と共にラジカル供給ライン116aを介して上部チャンバ118aの内部に導入される。一方,本実施例とは異なり,ラジカルはICP方式のリモートプラズマによって生成することもできる。即ち,ICP方式のリモートプラズマソースにラジカル生成ガスが供給されると,ラジカル生成ガスはプラズマ化してラジカルが生成される。生成されたラジカルは,ラジカル供給ライン116aを介して上部チャンバ118aの内部に導入することができる。
ラジカル供給ライン116aを介して上部チャンバ118aの内部にラジカル(例えば,水素ラジカル)が供給され,ガス供給ライン116bを介して上部チャンバ118aの内部に反応性ガス(例えば,NF3のようなフッ化物ガス)が供給され,これらを混合させて反応させる。この場合,その反応式は以下の通りである。
+NF→ NH(NHFH,NHFHFなど)
NH+SiO→ (NHF)SiF+HO↑
即ち,基板Sの表面に予め吸着した反応性ガスとラジカルが反応して中間生成物(NHxy)が生成され,中間生成物(NHxy)と基板S表面の自然酸化膜(SiO2)とが反応して反応生成物((NH4F)SiF6)が形成される。一方,基板Sは上部チャンバ118a内に設けられたサセプタ128に配置され,サセプタ128は反応プロセス中,基板Sを回転させて均一な反応を助長する。
上部チャンバ118aは,排気ライン119aに連結され,排気ポンプ119cを介して反応プロセスが行われる前の上部チャンバ118aに対する真空排気ができるのみならず,上部チャンバ118aの内部のラジカルと反応性ガス,未反応ラジカル生成ガス,プラズマ化するときの副生成物,キャリアガスなどを外部へ排出することができる。弁119bは排気ライン119aを開閉する。
下部チャンバ118bは基板Sに対するヒーティングプロセスを行い,下部チャンバ118bの内側上部にはヒータ148が設けられる。反応プロセスが完了すると,基板Sは基板ハンドラ104を介して下部チャンバ118bに移送される。この際,基板Sは,真空状態を維持する移送チャンバ102を介して移送されるので,基板Sが汚染物(例えば,O2,粒子状物質など)に曝されることを防止することができる。
ヒータ148は基板Sを所定温度(100℃以上の所定温度,例えば,130℃)に加熱し,これにより反応生成物が熱分解して基板Sの表面からHFやSiF4のような熱分解ガスが離脱され,真空排気されることで基板Sの表面からシリコン酸化物の薄膜を除去することができる。基板Sはヒータ148の下部に設けられたサセプタ138に配置され,ヒータ148はサセプタ138に配置された基板Sを加熱する。
(NH4F)6SiF6 → NH31+HF1+SiF4
一方,下部チャンバ118bは排気ライン117aに連結され,排気ポンプ117cを介して下部チャンバ118bの内部の反応副産物(例えば,NH3,HF,SiF4)を外部に排気することができる。弁117bは排気ライン117aを開閉する。
図7は,図1に示した洗浄チャンバの他の実施例を示す図である。洗浄チャンバ108aは上部チャンバ218aと下部チャンバ218bを備え,上部チャンバ218aと下部チャンバ218bは相互に連通されている。下部チャンバ218bは,移送チャンバ102に対応する一側に形成された通路219を有し,基板Sは通路219を介して移送チャンバ102から洗浄チャンバ108aに装填され得る。移送チャンバ102は洗浄チャンバ108aに対応する一側に形成された移送通路102dを有し,移送通路102dと通路219との間にはゲート弁107が設置される。ゲート弁107は移送チャンバ102と洗浄チャンバ108aを隔離することができ,移送通路102dと通路219は,ゲート弁107を介して開放及び閉鎖されることができる。
洗浄チャンバ108aは基板Sが積載される基板ホルダ228を備え,基板Sは基板ホルダ228上に縦方向に積載される。基板ホルダ228は回転軸226に連結され,回転軸226は下部チャンバ218bを貫通してエレベータ232及び駆動モータ234に連結される。回転軸226はエレベータ232を介して昇降し,基板ホルダ228は回転軸226と共に昇降することができる。回転軸226は駆動モータ234を介して回転し,基板ホルダ228はエッチングプロセスが行われている間,回転軸226と共に回転することができる。
基板ハンドラ104は基板Sを洗浄チャンバ108aに順次に移送する。この際,基板ホルダ228は,エレベータ232により昇降し,その昇降により基板ホルダ228の空いているスロットを通路219と対応する位置に移動する。よって,洗浄チャンバ108aに移送された基板Sは基板ホルダ228上に積載され,基板ホルダ228の昇降により基板Sを縦方向に積載することができる。基板ホルダ228は13枚の基板Sを積載することができる。
基板ホルダ228が下部チャンバ218b内に位置する時,基板Sは基板ホルダ228内に積載され,図7に示すように,基板ホルダ228が上部チャンバ218aに位置する時,基板Sに対する洗浄プロセスが行われる。上部チャンバ218aは洗浄プロセスが行われる処理空間を提供する。支持板224は回転軸226上に設置され,基板ホルダ228と共に上昇して上部チャンバ218aの内部の処理空間を外部から遮断する。支持板224は下部チャンバ218bの上端部に隣接するように配置され,支持板224と下部チャンバ218bの上端部との間にはシーリング部材224a(例えば,O−リングのような)が介在されて処理空間を密閉する。支持板224と回転軸226との間には軸受部材224bが設置され,回転軸226は軸受部材224bにより支持された状態で回転することができる。
基板Sに対する反応プロセス及びヒーティングプロセスは上部チャンバ218aの内部の処理空間内で行われる。基板ホルダ228に基板Sが全て積載されると,基板ホルダ228はエレベータ232により上昇して上部チャンバ218a内部の処理空間に移動する。インゼクタ216は上部チャンバ218aの内部の一側に設けられ,インゼクタ216は複数のインゼクタ孔216aを有する。
インゼクタ216はラジカル供給ライン215aに連結される。また,上部チャンバ218aはガス供給ライン215bに連結される。ラジカル供給ライン215aは,ラジカル生成ガス(例えば,H2又はNH3)の充填されたガス容器(図示せず)とキャリアガス(N2)が充填されたガス容器(図示せず)とに連結され,各ガス容器の弁を開放すると,ラジカル生成ガスとキャリアガスがインゼクタ216を介して処理空間に供給される。また,ラジカル供給ライン215aは導波管(図示せず)を介してマイクロ波源(図示せず)に接続され,マイクロ波源がマイクロ波を発すると,マイクロ波は導波管を進行してラジカル供給ライン215aの内部に侵入する。その状態でラジカル生成ガスが流されると,マイクロ波によりプラズマ化してラジカルが生成される。生成されたラジカルは,未処理のラジカル生成ガスやキャリアガス,及びプラズマ化の副生成物と共にラジカル供給ライン215aを流れてインゼクタ216に供給され,インゼクタ216を介して処理空間に導入される。一方,本実施例とは異なり,ラジカルはICP方式のリモートプラズマによって生成してもよい。即ち,ICP方式のリモートプラズマソースにラジカル生成ガスが供給されると,ラジカル生成ガスはプラズマ化されてラジカルが生成される。生成されたラジカルはラジカル供給ライン215aを介して上部チャンバ218aの内部に導入することができる。
ラジカル供給ライン215aを介して上部チャンバ218aの内部にラジカル(例えば,水素ラジカル)が供給され,ガス供給ライン215bを介して上部チャンバ218aの内部に反応性ガス(例えば,NF3のようなフッ化物ガス)が供給され,これを混合させて反応させる。この場合,その反応式は以下の通りである。
+NF3 → NHxy(NH4FH,NH4FHFなど)
NHxy+SiO2 → (NH4F)SiF6+H2O↑
即ち,基板Sの表面に予め吸着した反応性ガスとラジカルが反応して中間生成物(NHxy)が生成され,中間生成物(NHxy)と基板S表面の自然酸化膜(SiO2)とが反応して反応生成物((NH4F)SiF6)が形成される。一方,基板ホルダ228はエッチングプロセス中,基板Sを回転させて均一のエッチングを助長する。
上部チャンバ218aは排気ライン217に連結され,排気ポンプ217bを介して反応プロセスが行われる前に上部チャンバ218aに対する真空排気ができるのみならず,上部チャンバ218aの内部のラジカルと反応性ガス,未反応ラジカル生成ガス,プラズマ化するときの副生成物,キャリアガスなどを外部に排出することができる。弁217aは排気ライン217を開閉する。
ヒータ248は,上部チャンバ218aの他側に設けられ,ヒータ248は反応プロセスが行われた後の基板Sを所定温度(100℃以上の所定温度,例えば,130℃)に加熱する。これによって反応生成物が熱分解して基板Sの表面からHFやSiF4のような熱分解ガスが離脱され,真空排気されることで,基板Sの表面からシリコン酸化物の薄膜を除去することができる。反応副産物(例えば,NH3,HF,SiF4)は,排気ライン217を介して外部に排出することができる。
(NH4F)6SiF6 → NH3↑+HF↑+SiF4
図8は,図1に示したエピタキシャルチャンバを示す図であり,図9は,図1に示した供給管を示す図である。エピタキシャルチャンバ112a,112b,112cは同じ処理を行うチャンバであってもよく,以下では一つのエピタキシャルチャンバ112aに対してだけ説明する。
エピタキシャルチャンバ112aは上部チャンバ312aと下部チャンバ312bとを備え,上部チャンバ312aと下部チャンバ312bは相互に連通している。下部チャンバ312bは移送チャンバ102に対応する一側に形成された通路319を有し,基板Sを通路319を介して移送チャンバ102からエピタキシャルチャンバ112aに装填することができる。移送チャンバ102はエピタキシャルチャンバ112aに対応する一側に形成された移送通路102eを有し,移送通路102eと通路319との間にはゲート弁109が設けられる。ゲート弁109は移送チャンバ102とエピタキシャルチャンバ112aを隔離することができ,移送通路102eと通路319はゲート弁109を介して開放及び閉鎖することができる。
エピタキシャルチャンバ112aは基板Sが積載される基板ホルダ328を備え,基板Sは,基板ホルダ328上に縦方向に積載される。基板ホルダ328は,回転軸318に連結され,回転軸318は,下部チャンバ312bを貫通してエレベータ319a及び駆動モータ319bに連結される。回転軸318はエレベータ319aを介して昇降し,基板ホルダ328は回転軸318と共に昇降することができる。回転軸318は駆動モータ319bを介して回転し,基板ホルダ328はエピタキシャルプロセスが行われる間,回転軸318と共に回転することができる。
基板ハンドラ104は基板Sをエピタキシャルチャンバ112aに順次移送する。この際,基板ホルダ328はエレベータ319aにより昇降し,この昇降により基板ホルダ328の空いているスロットを通路319と対応する位置に移動する。よって,エピタキシャルチャンバ112aに移送された基板Sは基板ホルダ328上に積載され,基板ホルダ328の昇降により基板Sを縦方向に積載することができる。基板ホルダ328は13枚の基板Sを積載することができる。
基板ホルダ328が下部チャンバ312b内に位置する時,基板Sは基板ホルダ328内に積載され,図8に示すように,基板ホルダ328が反応チューブ314内に位置する時,基板Sに対するエピタキシャルプロセスが行われる。反応チューブ314はエピタキシャルプロセスが行われる処理空間を提供する。支持板316は,回転軸318上に設けられ,基板ホルダ328と共に上昇して反応チューブ314内部の処理空間を外部から遮断する。支持板316は反応チューブ314の下端部に隣接するように配置され,支持板316と反応チューブ314の下端部との間にはシーリング部材316a(例えば,O−リングのような)が介在されて処理空間を密閉する。支持板316と回転軸318との間には軸受部材316bが設けられ,回転軸318は軸受部材316bにより支持された状態で回転することができる。
基板Sに対するエピタキシャルプロセスは,反応チューブ314内部の処理空間内で行われる。供給管332が反応チューブ314内部の一側に設けられ,排気管334が反応チューブ314の内部の他側に設けられる。供給管332及び排気管334は基板Sを中心に相互に向き合うよう配置することができ,基板Sの積載方向に応じて縦方向に配置することができる。側部ヒータ324及び上部ヒータ326は反応チューブ314の外側に設けられ,反応チューブ314内部の処理空間を加熱する。
供給管332は供給ライン332aに連結され,供給ライン332aは反応ガスソース332cに連結される。反応ガスは反応ガスソース332cに貯蔵され,供給ライン332aを介して供給管332に供給される。図9に示すように,供給管332は第1及び第2供給管332a,332bを備えることができ,第1及び第2供給管332a,332bは長さ方向に沿って離隔配置された複数の供給孔333a,333bを有する。この際,供給孔333a,333bは,反応チューブ314に装填された基板Sの個数とほぼ同数形成され,基板Sの間に対応するように位置するか,又は基板Sと関係なく位置することができる。よって,供給孔333a,333bを介して供給された反応ガスは基板Sの表面に沿って層流状態(larminar flow)に円滑に流れることができ,基板Sが加熱した状態で基板S上にエピタキシャル層を形成することができる。供給ライン332aは,弁332bを介して開閉することができる。
一方,第1供給管332aは,蒸着用ガス〔シリコンガス(例えば,SiCl4,SiHCl3,SiH2Cl2,SiH3Cl,Si26,又はSiH4)及びキャリアガス(例えば,N2及び/又はH2)〕を供給し,第2供給管332bはエッチング用ガスを供給することができる。選択エピタキシープロセス(selective epitaxy process)は蒸着反応及びエッチング反応を伴う。本実施例では図示していないが,エピタキシャル層にドーパントを含めることが要求される場合,第3供給管を追加することができ,第3供給管はドーパント含有ガス(例えば,アルシン(AsH3),ホスフィン(PH3),及び/又はジボラン(B26))を供給することができる。
排気管334は排気ライン335aに連結され,排気ポンプ335を介して反応チューブ314内部の反応副産物を外部に排気することができる。排気管334は複数の排気孔を有し,排気孔は供給孔333a,333bと同様に基板S間に対応するように位置するか,又は基板Sと関係なく位置することができる。弁335bは排気ライン335aを開閉する。
本発明を好ましい実施例を介して詳細に説明したが,これとは異なる形態の実施例も可能である。よって,以下に記載された請求項の技術的思想と範囲は好ましい実施例に限定されるものではない。
本発明は多様な形態の半導体製造設備及び製造方法に応用することができる。

Claims (10)

  1. 基板に対する洗浄プロセスが行われる洗浄チャンバと,
    前記基板上にエピタキシャル層を形成するエピタキシャルプロセスが行われるエピタキシャルチャンバと,
    前記洗浄チャンバ及び前記エピタキシャルチャンバが側面に連結され,前記洗浄プロセスが行われた前記基板を前記エピタキシャルチャンバに移送する基板ハンドラを備える移送チャンバと,を含むことを特徴とする半導体製造設備。
  2. 前記エピタキシャルプロセスは複数の基板に対して行われるバッチ型であることを特徴とする請求項1記載の半導体製造設備。
  3. 前記エピタキシャルチャンバは,
    前記エピタキシャルプロセスが行われる処理空間を提供する反応チューブと,
    前記基板が積載される基板ホルダと,
    前記基板ホルダに連結されて前記基板ホルダと共に昇降し,前記基板ホルダ内に前記基板を積載する積載位置及び前記基板ホルダを前記処理空間内に位置する処理位置に移動すると共に,前記エピタキシャルプロセス中,既定の方向に回転する回転軸と,
    前記基板ホルダと共に昇降し,前記処理位置で前記反応チューブの下端部に接触して前記処理空間を外部から遮断する支持板と,を備えることを特徴とする請求項1記載の半導体製造設備。
  4. 前記エピタキシャルチャンバは,前記回転軸を昇降するエレベータ及び前記回転軸を回転させる駆動モータをさらに備えることを特徴とする請求項3記載の半導体製造設備。
  5. 前記エピタキシャルチャンバは,
    前記処理位置に置かれた前記基板ホルダの一側に設けられて前記処理空間に向かって反応ガスを供給する供給管と,
    前記処理位置に配置された前記基板ホルダの他側に設けられ,前記処理空間を真空状態に維持して前記処理空間内の未反応ガス及び反応副産物を排気する排気管と,を更に備えることを特徴とする請求項3記載の半導体製造設備。
  6. 前記供給管は前記処理位置に配置された前記基板ホルダに積載された前記基板間にそれぞれ位置する複数の供給孔を有し,前記排気管は前記処理位置に配置された前記基板ホルダに積載された前記基板間にそれぞれ位置する複数の排気孔を有することを特徴とする請求項5記載の半導体製造設備。
  7. 前記エピタキシャルチャンバは前記反応チューブの外側に設けられて前記処理空間を加熱するヒータを更に含むことを特徴とする請求項3記載の半導体製造設備。
  8. 前記移送チャンバは前記エピタキシャルチャンバに向かって前記基板が出入する移送通路を有し,前記エピタキシャルチャンバは前記基板が出入するエピタキシャル通路を有し,
    前記半導体製造設備は前記エピタキシャルチャンバと前記移送チャンバを隔離するエピタキシャル側のゲート弁を更に含むことを特徴とする請求項1記載の半導体製造設備。
  9. 前記半導体製造設備は前記移送チャンバの側面に連結された前記基板を積載する積載空間を備えるバッファチャンバを更に含み,前記基板ハンドラは前記洗浄プロセスが行われた前記基板を前記積載空間に順次積載した後に積載された前記基板を前記エピタキシャルチャンバに移送し,前記エピタキシャル層が形成された前記基板を前記積載空間に順次に積載することを特徴とする請求項1記載の半導体製造設備。
  10. 前記積載空間は前記洗浄プロセスが行われた前記基板が積載される第1積載空間と前記エピタキシャル層が形成された前記基板が積載される第2積載空間を備えることを特徴とする請求項9記載の半導体製造設備。
JP2014523841A 2011-08-02 2012-07-31 エピタキシャルプロセスのための半導体製造設備 Active JP5844900B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2011-0077100 2011-08-02
KR1020110077100A KR101271247B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비
PCT/KR2012/006105 WO2013019062A2 (ko) 2011-08-02 2012-07-31 에피택셜 공정을 위한 반도체 제조설비

Publications (2)

Publication Number Publication Date
JP2014524658A true JP2014524658A (ja) 2014-09-22
JP5844900B2 JP5844900B2 (ja) 2016-01-20

Family

ID=47629797

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014523841A Active JP5844900B2 (ja) 2011-08-02 2012-07-31 エピタキシャルプロセスのための半導体製造設備

Country Status (6)

Country Link
US (1) US20140190410A1 (ja)
JP (1) JP5844900B2 (ja)
KR (1) KR101271247B1 (ja)
CN (1) CN103733307B (ja)
TW (1) TWI524455B (ja)
WO (1) WO2013019062A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014524659A (ja) * 2011-08-02 2014-09-22 ユ−ジーン テクノロジー カンパニー.リミテッド エピタキシャルプロセスのための半導体製造設備

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113718331B (zh) * 2021-11-02 2022-02-08 芯三代半导体科技(苏州)有限公司 一种用于碳化硅外延薄膜生长的设备及其控制方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03131542A (ja) * 1989-10-18 1991-06-05 Toshiba Mach Co Ltd 気相成長装置
JPH05217918A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Ltd 成膜処理装置
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JPH09295890A (ja) * 1996-04-26 1997-11-18 Mitsubishi Chem Corp 半導体製造装置および半導体製造方法
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2009525611A (ja) * 2006-02-03 2009-07-09 アプライド マテリアルズ インコーポレイテッド エピタキシャル堆積プロセス及び装置
JP2009533844A (ja) * 2006-04-07 2009-09-17 アプライド マテリアルズ インコーポレイテッド エピタキシャル膜形成のためのクラスターツール
KR20100030052A (ko) * 2008-09-09 2010-03-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법
JP2010153467A (ja) * 2008-12-24 2010-07-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2010239115A (ja) * 2009-03-10 2010-10-21 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
DE102004024207B4 (de) * 2004-05-10 2016-03-24 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verfahren und Vorrichtung zur Niedertemperaturepitaxie auf einer Vielzahl von Halbleitersubstraten
KR100870246B1 (ko) * 2004-11-08 2008-11-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리장치
CN100521092C (zh) * 2004-11-08 2009-07-29 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR20090124118A (ko) * 2008-05-29 2009-12-03 주식회사 뉴파워 프라즈마 기판 처리 시스템
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03131542A (ja) * 1989-10-18 1991-06-05 Toshiba Mach Co Ltd 気相成長装置
JPH05217918A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Ltd 成膜処理装置
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JPH09295890A (ja) * 1996-04-26 1997-11-18 Mitsubishi Chem Corp 半導体製造装置および半導体製造方法
JP2009525611A (ja) * 2006-02-03 2009-07-09 アプライド マテリアルズ インコーポレイテッド エピタキシャル堆積プロセス及び装置
JP2009533844A (ja) * 2006-04-07 2009-09-17 アプライド マテリアルズ インコーポレイテッド エピタキシャル膜形成のためのクラスターツール
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
KR20100030052A (ko) * 2008-09-09 2010-03-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법
JP2010153467A (ja) * 2008-12-24 2010-07-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2010239115A (ja) * 2009-03-10 2010-10-21 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014524659A (ja) * 2011-08-02 2014-09-22 ユ−ジーン テクノロジー カンパニー.リミテッド エピタキシャルプロセスのための半導体製造設備

Also Published As

Publication number Publication date
TWI524455B (zh) 2016-03-01
TW201316430A (zh) 2013-04-16
WO2013019062A2 (ko) 2013-02-07
KR101271247B1 (ko) 2013-06-07
JP5844900B2 (ja) 2016-01-20
US20140190410A1 (en) 2014-07-10
KR20130015222A (ko) 2013-02-13
CN103733307A (zh) 2014-04-16
CN103733307B (zh) 2016-05-25
WO2013019062A3 (ko) 2013-06-13

Similar Documents

Publication Publication Date Title
JP5844900B2 (ja) エピタキシャルプロセスのための半導体製造設備
JP5978301B2 (ja) エピタキシャルプロセスのための半導体製造設備
JP5899318B2 (ja) エピタキシャルプロセスのための半導体製造設備
JP5844899B2 (ja) エピタキシャルプロセスのための半導体製造設備
KR101408084B1 (ko) 보조가스공급포트를 포함하는 기판 처리 장치
KR101364701B1 (ko) 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101380240B1 (ko) 열차단플레이트를 포함하는 기판 처리 장치
KR101308111B1 (ko) 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
CN109891555B (zh) 低温外延层形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151023

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151119

R150 Certificate of patent or registration of utility model

Ref document number: 5844900

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250