KR20130015222A - 에피택셜 공정을 위한 반도체 제조설비 - Google Patents

에피택셜 공정을 위한 반도체 제조설비 Download PDF

Info

Publication number
KR20130015222A
KR20130015222A KR1020110077100A KR20110077100A KR20130015222A KR 20130015222 A KR20130015222 A KR 20130015222A KR 1020110077100 A KR1020110077100 A KR 1020110077100A KR 20110077100 A KR20110077100 A KR 20110077100A KR 20130015222 A KR20130015222 A KR 20130015222A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
epitaxial
substrate holder
loaded
Prior art date
Application number
KR1020110077100A
Other languages
English (en)
Other versions
KR101271247B1 (ko
Inventor
김영대
현준진
우상호
신승우
김해원
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to KR1020110077100A priority Critical patent/KR101271247B1/ko
Priority to TW101126740A priority patent/TWI524455B/zh
Priority to CN201280037822.6A priority patent/CN103733307B/zh
Priority to US14/235,896 priority patent/US20140190410A1/en
Priority to JP2014523841A priority patent/JP5844900B2/ja
Priority to PCT/KR2012/006105 priority patent/WO2013019062A2/ko
Publication of KR20130015222A publication Critical patent/KR20130015222A/ko
Application granted granted Critical
Publication of KR101271247B1 publication Critical patent/KR101271247B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 일 실시예에 의하면, 반도체 제조설비는 기판에 대한 세정 공정이 이루어지는 세정 챔버; 상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 그리고 상기 세정 챔버 및 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 공정이 완료된 상기 기판을 상기 에피택셜 챔버로 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함한다. 상기 에피택셜 공정은 복수의 기판들에 대하여 이루어지는 배치 타입일 수 있다.

Description

에피택셜 공정을 위한 반도체 제조설비{EQUIPMENT FOR MANUFACTURING SEMICONDUCTOR}
본 발명은 반도체 제조설비에 관한 것으로, 더욱 상세하게는 기판 상에 에피택셜 층을 형성하는 에피택셜 공정을 위한 반도체 제조설비에 관한 것이다.
통상적인 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 식각 반응을 수반한다. 증착 및 식각 반응은 다결정층 및 에피택셜 층에 대해 비교적 상이한 반응 속도로 동시에 발생한다. 증착 프로세스 중에, 적어도 하나의 제2층상에, 기존의 다결정층 및/또는 비결정층이 증착되는 동안, 에피택셜 층은 단결정 표면상에 형성된다. 그러나 증착된 다결정층은 일반적으로 에피택셜 층보다 빠른 속도로 식각된다. 따라서, 부식 가스의 농도를 변화시킴으로써, 네트 선택적 프로세스(net selective process)가 에피택시 재료의 증착 및 제한된 또는 제한되지 않은 다결정 재료의 증착을 가져온다. 예를 들어, 선택적 에피택시 프로세스는, 증착물이 스페이서 상에 남아있지 않으면서 단결정 실리콘 표면상에 실리콘 함유 재료의 에피층(epilayer)의 형성을 가져올 수 있다.
선택적 에피택시 프로세스는 일반적으로 몇 가지 단점을 가진다. 이러한 에피택시 프로세스 중에 선택성을 유지시키기 위해, 전구체의 화학적 농도 및 반응 온도가 증착 프로세스에 걸쳐서 조절 및 조정되어야 한다. 충분하지 않은 실리콘 전구체가 공급되면, 식각 반응이 활성화되어 전체 프로세스가 느려진다. 또한, 기판 피처의 식각에 대해 해가 일어날 수 있다. 충분하지 않은 부식액 전구체가 공급되면, 증착 반응은 기판 표면에 걸쳐서 단결정 및 다결정 재료를 형성하는 선택성(selectivity)이 감소할 수 있다. 또한, 통상적인 선택적 에피택시 프로세스는 약 800℃, 약 1,000℃, 또는 그보다 높은 온도와 같은 높은 반응 온도를 일반적으로 요구한다. 이러한 높은 온도는 기판 표면에 대한 가능한 통제되지 않은 질화 반응 및 열 예산(thermal budge) 이유로 인해 제조 프로세스 중에 바람직하지 않다.
국제공개공보 WO 2008/073926 2008. 6. 19. 한국공개특허공보 10-2009-0035430호 2009. 4. 9.
본 발명의 목적은 기판 상에 에피택셜 층을 형성할 수 있는 반도체 제조설비를 제공하는 데 있다.
본 발명의 다른 목적은 기판 상에 형성된 자연산화막을 제거하고 기판 상에 자연산화막이 형성되는 것을 방지할 수 있는 반도체 제조설비를 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 반도체 제조설비는 기판에 대한 세정 공정이 이루어지는 세정 챔버; 상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 그리고 상기 세정 챔버 및 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 공정이 완료된 상기 기판을 상기 에피택셜 챔버로 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함한다.
상기 에피택셜 공정은 복수의 기판들에 대하여 이루어지는 배치 타입일 수 있다.
상기 에피택셜 챔버는, 상기 에피택셜 공정이 이루어지는 공정공간을 제공하는 반응튜브; 상기 기판이 적재되는 기판 홀더; 상기 기판 홀더에 연결되어 상기 기판 홀더와 함께 승강하며, 상기 기판 홀더 내에 상기 기판이 적재되는 적재위치 및 상기 기판 홀더가 상기 공정공간 내에 위치하는 공정위치로 이동하는, 그리고 상기 에피택셜 공정 동안 기설정된 방향으로 회전하는 회전축; 그리고 상기 기판 홀더와 함께 승강하며, 상기 공정위치에서 상기 반응튜브의 하단부에 접촉하여 상기 공정공간을 외부로부터 차단하는 지지판을 구비할 수 있다.
상기 에피택셜 챔버는 상기 회전축을 승강하는 엘리베이터 및 상기 회전축을 회전시키는 구동모터를 더 구비할 수 있다.
상기 에피택셜 챔버는, 상기 공정위치에 놓여진 상기 기판 홀더의 일측에 설치되어 상기 공정공간을 향해 반응가스를 공급하는 공급관; 그리고 상기 공정위치에 놓여진 상기 기판 홀더의 타측에 설치되며, 상기 공정공간을 진공 상태로 유지하고 상기 공정공간 내의 미반응가스 및 반응부산물을 배기하는 배기관을 더 구비할 수 있다.
상기 공급관은 상기 공정위치에 놓여진 상기 기판 홀더에 적재된 상기 기판들의 사이에 각각 위치하는 복수의 공급홀들을 가지며, 상기 배기관은 상기 공정위치에 놓여진 상기 기판 홀더에 적재된 상기 기판들의 사이에 각각 위치하는 복수의 배기홀들을 가질 수 있다.
상기 에피택셜 챔버는 상기 반응튜브의 외측에 설치되어 상기 공정공간을 가열하는 히터를 더 포함할 수 있다.
상기 이송 챔버는 상기 에피택셜 챔버를 향해 상기 기판이 출입하는 이송 통로를 가지고, 상기 에피택셜 챔버는 상기 기판이 출입하는 에피택셜 통로를 가지며, 상기 반도체 제조설비는 상기 에피택셜 챔버와 상기 이송 챔버를 격리하는 에피택셜측 게이트 밸브를 더 포함할 수 있다.
상기 반도체 제조설비는 상기 이송 챔버의 측면에 연결되며 상기 기판을 적재하는 적재공간을 구비하는 버퍼 챔버를 더 포함하며, 상기 기판 핸들러는 상기 세정 공정이 완료된 상기 기판을 상기 적재공간에 순차적으로 적재한 후 적재된 상기 기판들을 상기 에피택셜 챔버로 이송하며, 상기 에피택셜 층이 형성된 상기 기판을 상기 적재공간에 순차적으로 적재할 수 있다.
상기 적재공간은 상기 세정 공정이 완료된 상기 기판이 적재되는 제1 적재공간과 상기 에피택셜 층이 형성된 상기 기판이 적재되는 제2 적재공간을 구비할 수 있다.
본 발명의 일 실시예에 의하면 기판 상에 형성된 자연산화막을 제거할 수 있을 뿐만 아니라 기판 상에 자연산화막이 형성되는 것을 방지할 수 있다. 따라서, 기판 상에 효과적으로 에피택셜 층을 형성할 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비를 개략적으로 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다.
도 4는 도 1에 도시한 버퍼 챔버를 나타내는 도면이다.
도 5는 도 4에 도시한 기판 홀더를 나타내는 도면이다.
도 6은 도 1에 도시한 세정 챔버를 나타내는 도면이다.
도 7은 도 1에 도시한 세정 챔버의 다른 실시예를 나타내는 도면이다.
도 8은 도 1에 도시한 에피택셜 챔버를 나타내는 도면이다.
도 9는 도 1에 도시한 공급관을 나타내는 도면이다.
이하, 본 발명의 바람직한 실시예들을 첨부된 도 1 내지 도 9를 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비(1)를 개략적으로 나타내는 도면이다. 반도체 제조장치(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다. 설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 기판들(S)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다.
설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 기판(S)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다.
용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 기판(S)을 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.
기판(S)은 공정설비(2) 내에서 소정의 공정이 수행된다. 공정설비(2)는 이송 챔버(transfer chamber)(102), 로드록 챔버(loadlock chamber)(106), 세정 챔버(cleaning chamber)(108a,108b), 버퍼 챔버(buffer chamber)(110), 그리고 에피택셜 챔버(epitaxial chamber)(112a,112b,112c)를 포함한다. 이송 챔버(102)는 상부에서 바라볼 때 대체로 다각의 형상을 가지며, 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 이송 챔버(102)의 측면에 설치된다.
로드록 챔버(106)는 이송 챔버(102)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치한다. 기판(S)은 로드록 챔버(106) 내에 일시적으로 머무른 후 공정설비(2)에 로딩되어 공정이 이루어지며, 공정이 완료된 후 기판(S)은 공정설비(2)로부터 언로딩되어 로드록 챔버(106) 내에 일시적으로 머무른다. 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 진공으로 유지되며, 로드록 챔버(106)는 진공 및 대기압으로 전환된다. 로드록 챔버(106)는 외부 오염물질이 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)로 유입되는 것을 방지한다. 또한, 기판(S)의 이송 동안, 기판(S)이 대기에 노출되지 않으므로, 기판(S) 상에 산화막이 성장하는 것을 방지할 수 있다.
로드록 챔버(106)와 이송 챔버(102) 사이, 그리고 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(106) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 이송 챔버(102) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(106)와 이송 챔버(102) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.
이송 챔버(102)는 기판 핸들러(104)를 구비한다. 기판 핸들러(104)는 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c) 사이에서 기판(S)을 이송한다. 이송 챔버(102)는 기판(S)이 이동할 때 진공을 유지하도록 밀봉된다. 진공을 유지하는 것은 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지하기 위함이다.
에피택셜 챔버(112a,112b,112c)는 기판(S) 상에 에피택셜 층을 형성하기 위하여 제공된다. 본 실시예에서는 3개의 에피택셜 챔버(112a,112b,112c)가 제공된다. 에피택셜 공정은 세정 공정에 비해 많은 시간이 소요되므로, 복수의 에피택셜 챔버를 통해 제조수율을 향상시킬 수 있다. 본 실시예와 달리, 4개 이상이나 2개 이하의 에피택셜 챔버가 제공될 수 있다.
세정 챔버(108a,108b)는 에피택셜 챔버(112a,112b,112c) 내에서 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)을 세정하기 위하여 제공된다. 에피택셜 공정이 성공적으로 이루어지기 위해서는 결정성 기판 상에 존재하는 산화물의 양이 최소화되어야 한다. 기판의 표면 산소 함유량이 너무 높은 경우, 산소 원자가 시드 기판 상의 증착재료의 결정학적 배치를 방해하기 때문에, 에피택셜 공정은 유해한 영향을 받는다. 예를 들면, 실리콘 에피택셜 증착시, 결정성 기판 상의 과도한 산소는, 원자 단위의 산소 원자 클러스터에 의해, 실리콘 원자를 그 에피택셜 위치로부터 변위되게 할 수 있다. 이러한 국소적인 원자 변위는 층이 더 두껍게 성장할 때 후속 원자 배열에 오차를 일으킬 수 있다. 이러한 현상은 이른바 적층 결함 또는 힐락(hillock defects)으로 지칭될 수 있다. 기판 표면의 산소화(oxygenatoin)는, 예를 들면 기판이 이송할 때 대기에 노출되는 경우 발생할 수 있다. 따라서, 기판(S) 상에 형성된 자연 산화막(native oxide)(또는 표면 산화물)을 제거하는 세정 공정이 세정 챔버(108a,108b) 내에서 이루어질 수 있다.
세정 공정은 라디칼 상태의 수소(H*)와 NF3 가스를 사용하는 건식 에칭 공정이다. 예를 들어, 기판의 표면에 형성된 실리콘 산화막을 에칭하는 경우, 챔버 내에 기판을 배치하고 챔버 내에 진공 분위기를 형성한 후, 챔버 내에서 실리콘 산화막과 반응하는 중간 생성물을 발생시킨다.
예를 들어, 챔버 내에 수소 가스의 라디칼(H*)과 불화물 가스(예를 들어, 불화질소(NF3))와 같은 반응성 가스를 공급하면, 아래 반응식(1)과 같이 반응성 가스가 환원되어 NHxFy(x,y는 임의의 정수)와 같은 중간 생성물이 생성된다.
Figure pat00001

중간 생성물은 실리콘 산화막(SiO2)과 반응성이 높기 때문에, 중간 생성물이 실리콘 기판의 표면에 도달하면 실리콘 산화막과 선택적으로 반응하여 아래 반응식(2)와 같이 반응 생성물((NH4)2SiF6)이 생성된다.
Figure pat00002

이후, 실리콘 기판을 100℃ 이상으로 가열하면 아래 반응식(3)과 같이 반응 생성물이 열분해하여 열분해 가스가 되어 증발되므로, 결과적으로 기판 표면으로부터 실리콘 산화막이 제거될 수 있다. 아래 반응식(3)과 같이, 열분해 가스는 HF 가스나 SiF4 가스와 같이 불소를 함유하는 가스가 포함된다.
Figure pat00003

위와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함하며, 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
버퍼 챔버(110)는 세정 공정이 완료된 기판(S)이 적재되는 공간과 에피택셜 공정이 이루어진 기판(S)이 적재되는 공간을 제공한다. 세정 공정이 완료되면, 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되기 이전에 버퍼 챔버(110)로 이동하여 버퍼 챔버(110) 내에 적재된다. 에피택셜 챔버(112a,112b,112c)는 복수의 기판들에 대한 단일 공정이 이루어지는 배치 타입(batch type)일 수 있으며, 에피택셜 챔버(112a,112b,112c) 내에서 에피택셜 공정이 완료되면, 에피택셜 공정이 이루어진 기판(S)은 버퍼 챔버(110) 내에 순차적으로 적재되고, 세정 공정이 완료된 기판(S)은 에피택셜 챔버(112a,112b,112c) 내에 순차적으로 적재된다. 이때, 기판(S)은 버퍼 챔버(110) 내에 종방향으로 적재될 수 있다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다. 앞서 설명한 바와 같이, 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)에 대한 세정 공정이 세정 챔버(108a,108b) 내에서 이루어지며, 세정 공정을 통해 기판(70)의 표면에 형성된 산화막(72)을 제거할 수 있다. 산화막은 세정 챔버(108a,108b) 내에서 세정 공정을 통해 제거될 수 있다. 세정 공정을 통해 기판(70)의 표면 상에 에피택시 표면(74)이 노출될 수 있으며, 이를 통해 에피택셜 층의 성장을 돕는다.
이후, 기판(S) 상에 에피택셜 공정이 에피택셜 챔버(112a,112b,112c) 내에서 이루어진다. 에피택셜 공정은 화학기상증착에 의해 이루어질 수 있으며, 에피택시 표면(74) 상에 에피택시 층(76)을 형성할 수 있다. 기판(70)의 에피택시 표면(74)은 실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2)를 포함하는 반응가스에 노출될 수 있다. 또한, 에피택시 층(76)이 도펀트를 포함할 것이 요구되는 경우, 실리콘 함유 가스는 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다. 방법은 단계(S10)로부터 시작한다. 단계(S20)에서, 기판(S)은 에피택셜 공정 전에 세정 챔버(108a,108b)로 이동하며, 기판 핸들러(104)는 기판(S)을 세정 챔버(108a,108b)로 이송한다. 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S30)에서, 기판(S)에 대한 세정 공정이 이루어진다. 앞서 설명한 바와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함한다. 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
단계(S40)에서, 세정 공정이 완료된 기판(S)은 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 버퍼 챔버(110) 내에서 에피택셜 공정을 대기한다. 단계(S50)에서 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되며, 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S60)에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 이후, 기판(S)은 단계(S70)에서 다시 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 단계(S80)에서 공정이 종료된다.
도 4는 도 1에 도시한 버퍼 챔버를 나타내는 도면이며, 도 5는 도 4에 도시한 기판 홀더를 나타내는 도면이다. 버퍼 챔버(110)는 상부챔버(110a)와 하부챔버(110b)를 구비한다. 하부 챔버(110b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(110c)를 구비하며, 기판(S)은 통로(110c)를 통해 이송 챔버(102)로부터 버퍼 챔버(110)로 로딩된다. 이송 챔버(102)는 버퍼 챔버(110)에 대응되는 일측에 형성된 버퍼 통로(102a)를 가지며, 버퍼 통로(102a)와 통로(110c) 사이에는 게이트 밸브(103)가 설치된다. 게이트 밸브(103)는 이송 챔버(102)와 버퍼 챔버(110)를 격리할 수 있으며, 버퍼 통로(102a)와 통로(110c)는 게이트 밸브(103)를 통해 개방 및 폐쇄될 수 있다.
버퍼 챔버(110)는 기판(S)이 적재되는 기판 홀더(120)를 구비하며, 기판(S)은 기판 홀더(120) 상에 종방향으로 적재된다. 기판 홀더(120)는 승강축(122)에 연결되며, 승강축(122)은 하부챔버(110b)를 관통하여 지지판(124) 및 구동축(128)에 연결된다. 구동축(128)은 엘리베이터(129)를 통해 승강하며, 구동축(128)에 의해 승강축(122) 및 기판 홀더(120)는 승강할 수 있다.
기판 핸들러(104)는 세정 공정이 완료된 기판(S)을 버퍼 챔버(110)로 순차적으로 이송한다. 이때, 기판 홀더(120)는 엘리베이터(129)에 의해 승강하며, 승강에 의해 기판 홀더(120)의 비어 있는 슬롯을 통로(110c)와 대응되는 위치로 이동한다. 따라서, 버퍼 챔버(110)로 이송된 기판(S)은 기판 홀더(120) 상에 적재되며, 기판 홀더(120)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다.
한편, 도 5에 도시한 바와 같이, 기판 홀더(120)는 상부적재공간(120a)과 하부적재공간(120b)을 구비한다. 앞서 설명한 바와 같이, 세정 공정을 완료한 기판(S) 및 에피택셜 공정을 완료한 기판(S)은 기판 홀더(120) 상에 적재된다. 따라서, 세정 공정을 완료한 기판(S)과 에피택셜 공정을 완료한 기판(S)은 구별될 필요가 있으며, 세정 공정을 완료한 기판(S)은 상부적재공간(120a)에 적재되고, 에피택셜 공정을 완료한 기판(S)은 하부적재공간(120b)에 적재된다. 상부적재공간(120a)은 13장의 기판들(S)을 적재할 수 있으며, 하나의 에피택셜 챔버(112a,112b,112c)는 13장의 기판들(S)에 대한 공정을 처리할 수 있다. 마찬가지로, 하부적재공간(120b)은 13장의 기판들(S)을 적재할 수 있다.
하부챔버(110b)는 배기라인(132)에 연결되며, 배기펌프(132b)를 통해 버퍼 챔버(110)의 내부는 진공 상태를 유지할 수 있다. 밸브(132a)는 배기라인(132)을 개폐한다. 벨로우즈(126)는 하부챔버(110b)의 하부와 지지판(124)을 연결하며, 벨로우즈(126)를 통해 버퍼 챔버(110)의 내부는 밀봉될 수 있다. 즉, 벨로우즈(126)는 승강축(122)의 둘레를 통한 진공 누출을 방지한다.
도 6은 도 1에 도시한 세정 챔버를 나타내는 도면이다. 앞서 설명한 바와 같이, 세정 챔버(108a,108b)는 동일한 공정을 수행하는 챔버일 수 있으며, 이하에서는 하나의 세정 챔버(108a)에 대해서만 설명하기로 한다.
세정 챔버(108a)는 상부챔버(118a)와 하부챔버(118b)를 구비하며, 상부챔버(118a)와 하부챔버(118b)는 상하로 적재될 수 있다. 상부챔버(118a) 및 하부챔버(118b)는 이송 챔버(102)에 대응되는 일측에 형성된 상부통로(128a) 및 하부통로(138a)를 각각 구비하며, 기판(S)은 상부통로(128a) 및 하부통로(138a)를 통해 이송 챔버(102)로부터 상부챔버(118a) 및 하부챔버(118b)로 각각 로딩될 수 있다. 이송 챔버(102)는 상부챔버(118a) 및 하부챔버(118b)에 각각 대응되는 일측에 형성된 상부 통로(102b) 및 하부 통로(102a)를 가지며, 상부 통로(102b)와 상부통로(128a) 사이에는 상부 게이트 밸브(105a)가 설치되고, 하부 통로(102a)와 하부통로(138a) 사이에는 하부 게이트 밸브(105b)가 설치된다. 게이트 밸브(105a,105b)는 상부챔버(118a)와 이송 챔버(102), 그리고 하부챔버(118b)와 이송 챔버(102)를 각각 격리할 수 있다. 상부 통로(102b)와 상부통로(128a)는 상부 게이트 밸브(105a)를 통해 개방 및 폐쇄될 수 있으며, 하부 통로(102a)와 하부통로(138a)는 하부 게이트 밸브(105b)를 통해 개방 및 폐쇄될 수 있다.
상부챔버(118a)는 기판(S)에 대하여 라디칼을 이용한 반응 공정을 진행하며, 상부챔버(118a)는 라디칼 공급라인(116a) 및 가스공급라인(116b)에 연결된다. 라디칼 공급라인은 라디칼 생성가스(예를 들어, H2 또는 NH 3 )가 충전된 가스용기(도시안함)와 캐리어 가스(N2)가 충전된 가스 용기(도시안함)에 연결되며, 각 가스 용기의 밸브를 개방하면 라디칼 생성가스와 캐리어 가스가 상부챔버(118a)의 내부로 공급된다. 또한, 라디칼 공급라인(116a)은 도파관(도시안함)을 통해 마이크로파원(도시안함)에 접속되며, 마이크로파원이 마이크로파를 발생시키면 마이크로파는 도파관을 진행하여 라디칼 공급라인(116a) 내부로 침입한다. 그 상태에서 라디칼 생성가스가 흐르면 마이크로파에 의해 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 미처리된 라디칼 생성가스나 캐리어 가스, 그리고 플라즈마화의 부생성물과 함께 라디칼 공급라인(116a)을 흘러 상부챔버(118a)의 내부로 도입된다. 한편, 본 실시예와 달리, 라디칼은 ICP 방식의 리모트 플라즈마에 의해서도 생성될 수 있다. 즉, ICP 방식의 리모트 플라즈마 소스에 라디칼 생성가스가 공급되면, 라디칼 생성가스는 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 라디칼 공급라인(116a)을 흘러 상부챔버(118a)의 내부로 도입될 수 있다.
라디칼 공급라인(116a)을 통해 상부챔버(118a) 내부에 라디칼(예를 들어, 수소 라디칼)이 공급되고, 가스공급라인(116b)을 통해 상부챔버(118a) 내부에 반응성 가스(예를 들어, NF3와 같은 불화물 가스)가 공급되며, 이들을 혼합시켜 반응시킨다. 이 경우, 반응식은 아래와 같다.
Figure pat00004
Figure pat00005

즉, 기판(S)의 표면에 미리 흡착한 반응성 가스와 라디칼이 반응하여 중간 생성물(NHxFy)이 생성되고, 중간생성물(NHxFy)과 기판(S) 표면의 자연 산화막(SiO2)이 반응하여 반응 생성물((NH4F)SiF6)이 형성된다. 한편, 기판(S)은 상부챔버(118a) 내에 설치된 서셉터(128)에 놓여지며, 서셉터(128)는 반응공정 동안 기판(S)을 회전시켜 균일한 반응이 이루어질 수 있도록 돕는다.
상부챔버(118a)는 배기라인(119a)에 연결되며, 배기펌프(119c)를 통해 반응 공정이 이루어지기 전 상부챔버(118a)에 대한 진공 배기를 할 수 있을 뿐만 아니라, 상부챔버(118a) 내부의 라디칼과 반응성 가스, 미반응 라디칼 생성가스, 플라즈마화할 때의 부생성물, 캐리어 가스 등을 외부로 배출할 수 있다. 밸브(119b)는 배기라인(119a)을 개폐한다.
하부챔버(118b)는 기판(S)에 대한 히팅공정을 진행하며, 하부챔버(118b)의 내측 상부에는 히터(148)가 설치된다. 반응 공정이 완료되면, 기판(S)은 기판 핸들러(104)를 통해 하부챔버(118b)로 이송된다. 이때, 기판(S)은 진공 상태를 유지하는 이송 챔버(102)를 통해 이송되므로, 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지할 수 있다.
히터(148)는 기판(S)을 소정 온도(100℃ 이상의 소정 온도, 예를 들어, 130℃)로 가열하며, 이로 인해 반응 생성물이 열분해하여 기판(S) 표면으로부터 HF나 SiF4와 같은 열분해 가스가 이탈되고, 진공배기됨으로써 기판(S)의 표면으로부터 실리콘 산화물의 박막이 제거될 수 있다. 기판(S)은 히터(148)의 하부에 설치된 서셉터(138)에 놓여지며, 히터(148)는 서셉터(138)에 놓여진 기판(S)을 가열한다.
Figure pat00006

한편, 하부챔버(118b)는 배기라인(117a)에 연결되며, 배기펌프(117c)를 통해 하부챔버(118b) 내부의 반응부산물(예를 들어, NH3, HF, SiF4)을 외부로 배기할 수 있다. 밸브(117b)는 배기라인(117a)을 개폐한다.
도 7은 도 1에 도시한 세정 챔버의 다른 실시예를 나타내는 도면이다. 세정 챔버(108a)는 상부챔버(218a)와 하부챔버(218b)를 구비하며, 상부챔버(218a)와 하부챔버(218b)는 서로 연통된다. 하부 챔버(218b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(219)를 가지며, 기판(S)은 통로(219)를 통해 이송 챔버(102)로부터 세정 챔버(108a)로 로딩될 수 있다. 이송 챔버(102)는 세정 챔버(108a)에 대응되는 일측에 형성된 이송 통로(102d)를 가지며, 이송 통로(102d)와 통로(219) 사이에는 게이트 밸브(107)가 설치된다. 게이트 밸브(107)는 이송 챔버(102)와 세정 챔버(108a)를 격리할 수 있으며, 이송 통로(102d)와 통로(219)는 게이트 밸브(107)를 통해 개방 및 폐쇄될 수 있다.
세정 챔버(108a)는 기판(S)이 적재되는 기판 홀더(228)를 구비하며, 기판(S)은 기판 홀더(228) 상에 종방향으로 적재된다. 기판 홀더(228)는 회전축(226)에 연결되며, 회전축(226)은 하부챔버(218b)를 관통하여 엘리베이터(232) 및 구동모터(234)에 연결된다. 회전축(226)은 엘리베이터(232)를 통해 승강하며, 기판 홀더(228)는 회전축(226)과 함께 승강할 수 있다. 회전축(226)은 구동모터(234)를 통해 회전하며, 기판 홀더(228)는 에칭 공정이 이루어지는 동안 회전축(226)과 함께 회전할 수 있다.
기판 핸들러(104)는 기판(S)을 세정 챔버(108a)로 순차적으로 이송한다. 이때, 기판 홀더(228)는 엘리베이터(232)에 의해 승강하며, 승강에 의해 기판 홀더(228)의 비어 있는 슬롯을 통로(219)와 대응되는 위치로 이동한다. 따라서, 세정 챔버(108a)로 이송된 기판(S)은 기판 홀더(228) 상에 적재되며, 기판 홀더(228)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다. 기판 홀더(228)는 13장의 기판들(S)을 적재할 수 있다.
기판 홀더(228)가 하부챔버(218b) 내에 위치하는 동안, 기판(S)은 기판 홀더(228) 내에 적재되며, 도 7에 도시한 바와 같이, 기판 홀더(228)가 상부챔버(218a)에 위치하는 동안, 기판(S)에 대한 세정 공정이 이루어진다. 상부챔버(218a)는 세정 공정이 이루어지는 공정공간을 제공한다. 지지판(224)은 회전축(226) 상에 설치되며, 기판 홀더(228)와 함께 상승하여 상부챔버(218a) 내부의 공정공간을 외부로부터 차단한다. 지지판(224)은 하부챔버(218b)의 상단부에 인접하도록 배치되며, 지지판(224)과 하부챔버(218b)의 상단부 사이에는 실링부재(224a)(예를 들어, O-링과 같은)가 개재되어 공정공간을 밀폐한다. 지지판(224)과 회전축(226) 사이에는 베어링부재(224b)가 설치되며, 회전축(226)은 베어링부재(224b)에 의해 지지된 상태에서 회전할 수 있다.
기판(S)에 대한 반응공정 및 히팅공정은 상부챔버(218a) 내부의 공정공간 내에서 이루어진다. 기판 홀더(228)에 기판(S)이 모두 적재되면, 기판 홀더(228)는 엘리베이터(232)에 의해 상승하여 상부챔버(218a) 내부의 공정공간으로 이동한다. 인젝터(216)는 상부챔버(218a) 내부의 일측에 설치되며, 인젝터(216)는 복수의 인젝트홀들(216a)을 가진다.
인젝터(216)는 라디칼 공급라인(215a)에 연결된다. 또한, 상부챔버(218a)는 가스공급라인(215b)에 연결된다. 라디칼 공급라인(215a)은 라디칼 생성가스(예를 들어, H2 또는 NH 3 )가 충전된 가스용기(도시안함)와 캐리어 가스(N2)가 충전된 가스 용기(도시안함)에 연결되며, 각 가스 용기의 밸브를 개방하면 라디칼 생성가스와 캐리어 가스가 인젝터(216)를 통해 공정공간으로 공급된다. 또한, 라디칼 공급라인(215a)은 도파관(도시안함)을 통해 마이크로파원(도시안함)에 접속되며, 마이크로파원이 마이크로파를 발생시키면 마이크로파는 도파관을 진행하여 라디칼 공급라인(215a) 내부로 침입한다. 그 상태에서 라디칼 생성가스가 흐르면 마이크로파에 의해 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 미처리된 라디칼 생성가스나 캐리어 가스, 그리고 플라즈마화의 부생성물과 함께 라디칼 공급라인(215a)을 흘러 인젝터(216)에 공급되며, 인젝터(216)를 통해 공정공간으로 도입된다. 한편, 본 실시예와 달리, 라디칼은 ICP 방식의 리모트 플라즈마에 의해서도 생성될 수 있다. 즉, ICP 방식의 리모트 플라즈마 소스에 라디칼 생성가스가 공급되면, 라디칼 생성가스는 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 라디칼 공급라인(215a)을 흘러 상부챔버(218a)의 내부로 도입될 수 있다.
라디칼 공급라인(215a)을 통해 상부챔버(218a) 내부에 라디칼(예를 들어, 수소 라디칼)이 공급되고, 가스공급라인(215b)을 통해 상부챔버(218a) 내부에 반응성 가스(예를 들어, NF3와 같은 불화물 가스)가 공급되며, 이들을 혼합시켜 반응시킨다. 이 경우, 반응식은 아래와 같다.
Figure pat00007
Figure pat00008

즉, 기판(S)의 표면에 미리 흡착한 반응성 가스와 라디칼이 반응하여 중간 생성물(NHxFy)이 생성되고, 중간생성물(NHxFy)과 기판(S) 표면의 자연 산화막(SiO2)이 반응하여 반응 생성물((NH4F)SiF6)이 형성된다. 한편, 기판 홀더(228)는 에칭공정 동안 기판(S)을 회전시켜 균일한 에칭이 이루어질 수 있도록 돕는다.
상부챔버(218a)는 배기라인(217)에 연결되며, 배기펌프(217b)를 통해 반응 공정이 이루어지기 전 상부챔버(218a)에 대한 진공 배기를 할 수 있을 뿐만 아니라, 상부챔버(218a) 내부의 라디칼과 반응성 가스, 미반응 라디칼 생성가스, 플라즈마화할 때의 부생성물, 캐리어 가스 등을 외부로 배출할 수 있다. 밸브(217a)는 배기라인(217)을 개폐한다.
히터(248)는 상부챔버(218a)의 타측에 설치되며, 히터(248)는 반응 공정이 완료된 후 기판(S)을 소정 온도(100℃ 이상의 소정 온도, 예를 들어, 130℃)로 가열한다. 이로 인해 반응 생성물이 열분해하여 기판(S) 표면으로부터 HF나 SiF4와 같은 열분해 가스가 이탈되고, 진공배기됨으로써 기판(S)의 표면으로부터 실리콘 산화물의 박막이 제거될 수 있다. 반응부산물(예를 들어, NH3, HF, SiF4)은 배기라인(217)을 통해 외부로 배출될 수 있다.
Figure pat00009

도 8은 도 1에 도시한 에피택셜 챔버를 나타내는 도면이며, 도 9는 도 1에 도시한 공급관을 나타내는 도면이다. 에피택셜 챔버(112a,112b,112c)는 동일한 공정을 수행하는 챔버일 수 있으며, 이하에서는 하나의 에피택셜 챔버(112a)에 대해서만 설명하기로 한다.
에피택셜 챔버(112a)는 상부챔버(312a)와 하부챔버(312b)를 구비하며, 상부챔버(312a)와 하부챔버(312b)는 서로 연통된다. 하부 챔버(312b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(319)를 가지며, 기판(S)은 통로(319)를 통해 이송 챔버(102)로부터 에피택셜 챔버(112a)로 로딩될 수 있다. 이송 챔버(102)는 에피택셜 챔버(112a)에 대응되는 일측에 형성된 이송 통로(102e)를 가지며, 이송 통로(102e)와 통로(319) 사이에는 게이트 밸브(109)가 설치된다. 게이트 밸브(109)는 이송 챔버(102)와 에피택셜 챔버(112a)를 격리할 수 있으며, 이송 통로(102e)와 통로(319)는 게이트 밸브(109)를 통해 개방 및 폐쇄될 수 있다.
에피택셜 챔버(112a)는 기판(S)이 적재되는 기판 홀더(328)를 구비하며, 기판(S)은 기판 홀더(328) 상에 종방향으로 적재된다. 기판 홀더(328)는 회전축(318)에 연결되며, 회전축(318)은 하부챔버(312b)를 관통하여 엘리베이터(319a) 및 구동모터(319b)에 연결된다. 회전축(318)은 엘리베이터(319a)를 통해 승강하며, 기판 홀더(328)는 회전축(318)과 함께 승강할 수 있다. 회전축(318)은 구동모터(319b)를 통해 회전하며, 기판 홀더(328)는 에피택셜 공정이 이루어지는 동안 회전축(318)과 함께 회전할 수 있다.
기판 핸들러(104)는 기판(S)을 에피택셜 챔버(112a)로 순차적으로 이송한다. 이때, 기판 홀더(328)는 엘리베이터(319a)에 의해 승강하며, 승강에 의해 기판 홀더(328)의 비어 있는 슬롯을 통로(319)와 대응되는 위치로 이동한다. 따라서, 에피택셜 챔버(112a)로 이송된 기판(S)은 기판 홀더(328) 상에 적재되며, 기판 홀더(328)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다. 기판 홀더(328)는 13장의 기판들(S)을 적재할 수 있다.
기판 홀더(328)가 하부챔버(312b) 내에 위치하는 동안, 기판(S)은 기판 홀더(328) 내에 적재되며, 도 8에 도시한 바와 같이, 기판 홀더(328)가 반응튜브(314) 내에 위치하는 동안, 기판(S)에 대한 에피택셜 공정이 이루어진다. 반응튜브(314)는 에피택셜 공정이 이루어지는 공정공간을 제공한다. 지지판(316)은 회전축(318) 상에 설치되며, 기판 홀더(328)와 함께 상승하여 반응튜브(314) 내부의 공정공간을 외부로부터 차단한다. 지지판(316)은 반응튜브(314)의 하단부에 인접하도록 배치되며, 지지판(316)과 반응튜브(314)의 하단부 사이에는 실링부재(316a)(예를 들어, O-링과 같은)가 개재되어 공정공간을 밀폐한다. 지지판(316)과 회전축(318) 사이에는 베어링부재(316b)가 설치되며, 회전축(318)은 베어링부재(316b)에 의해 지지된 상태에서 회전할 수 있다.
기판(S)에 대한 에피택셜 공정은 반응튜브(314) 내부의 공정공간 내에서 이루어진다. 공급관(332)은 반응튜브(314) 내부의 일측에 설치되며, 배기관(334)은 반응튜브(314) 내부의 타측에 설치된다. 공급관(332) 및 배기관(334)은 기판(S)을 중심으로 서로 마주보도록 배치될 수 있으며, 기판(S)의 적재방향에 따라 종방향으로 배치될 수 있다. 측부히터(324) 및 상부히터(326)는 반응튜브(314)의 외측에 설치되며, 반응튜브(314) 내부의 공정공간을 가열한다.
공급관(332)은 공급라인(332a)에 연결되며, 공급라인(332a)은 반응가스 소스(332c)에 연결된다. 반응가스는 반응가스 소스(332c)에 저장되며, 공급라인(332a)을 통해 공급관(332)에 공급된다. 도 9에 도시한 바와 같이, 공급관(332)은 제1 및 제2 공급관(332a,332b)을 구비할 수 있으며, 제1 및 제2 공급관(332a,332b)은 길이방향을 따라 이격배치된 복수의 공급홀들(333a,333b)을 가진다. 이때, 공급홀들(333a,333b)은 반응튜브(314)에 로딩된 기판(S)의 개수와 대체로 동일하게 형성되며, 기판(S)의 사이에 대응되도록 위치하거나 기판(S)과 무관하게 위치할 수 있다. 따라서, 공급홀들(333a,333b)을 통해 공급된 반응가스는 기판(S)의 표면을 따라 층류 상태(larminar flow)로 원활하게 흐를 수 있으며, 기판(S)이 가열된 상태에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 공급라인(332a)은 밸브(332b)를 통해 개폐될 수 있다.
한편, 제1 공급관(332a)은 증착용 가스(실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2))를 공급하며, 제2 공급관(332b)은 에칭용 가스를 공급할 수 있다. 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 에칭 반응을 수반한다. 본 실시예에서는 도시하지 않았지만, 에피택시 층이 도펀트를 포함할 것이 요구되는 경우, 제3 공급관이 추가될 수 있으며, 제3 공급관은 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 공급할 수 있다.
배기관(334)은 배기라인(335a)에 연결되며, 배기펌프(335)를 통해 반응튜브(314) 내부의 반응부산물을 외부로 배기할 수 있다. 배기관(334)은 복수의 배기홀들을 가지며, 배기홀들은 공급홀들(333a,333b)과 마찬가지로 기판(S)의 사이에 대응되도록 위치하거나 기판(S)과 무관하게 위치할 수 있다. 밸브(335b)는 배기라인(335a)을 개폐한다.
본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
1 : 반도체 제조설비 2 : 공정모듈
3 : 설비 전방 단부 모듈 4 : 경계벽
60 : 로드포트 70 : 기판
72 : 산화막 74 : 에피택시 표면
102 : 이송 챔버 103,105a,105b,107 : 게이트 밸브
104 : 기판 핸들러 108a,108b : 세정 챔버
110 : 버퍼 챔버 112a,112b,112c : 에피택셜 챔버
118a : 에칭 챔버 118b : 히팅 챔버
120,228,328 : 기판 홀더 148,248,324,326 : 히터
216 : 인젝터 224,316 : 지지판
332 : 공급관 334 : 배기관

Claims (10)

  1. 기판에 대한 세정 공정이 이루어지는 세정 챔버;
    상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 및
    상기 세정 챔버 및 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 공정이 완료된 상기 기판을 상기 에피택셜 챔버로 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하는 것을 특징으로 하는 반도체 제조설비.
  2. 제1항에 있어서,
    상기 에피택셜 공정은 복수의 기판들에 대하여 이루어지는 배치 타입인 것을 특징으로 하는 반도체 제조설비.
  3. 제1항에 있어서,
    상기 에피택셜 챔버는,
    상기 에피택셜 공정이 이루어지는 공정공간을 제공하는 반응튜브;
    상기 기판이 적재되는 기판 홀더;
    상기 기판 홀더에 연결되어 상기 기판 홀더와 함께 승강하며, 상기 기판 홀더 내에 상기 기판이 적재되는 적재위치 및 상기 기판 홀더가 상기 공정공간 내에 위치하는 공정위치로 이동하는, 그리고 상기 에피택셜 공정 동안 기설정된 방향으로 회전하는 회전축; 및
    상기 기판 홀더와 함께 승강하며, 상기 공정위치에서 상기 반응튜브의 하단부에 접촉하여 상기 공정공간을 외부로부터 차단하는 지지판을 구비하는 것을 특징으로 하는 반도체 제조설비.
  4. 제3항에 있어서,
    상기 에피택셜 챔버는 상기 회전축을 승강하는 엘리베이터 및 상기 회전축을 회전시키는 구동모터를 더 구비하는 것을 특징으로 하는 반도체 제조설비.
  5. 제3항에 있어서,
    상기 에피택셜 챔버는,
    상기 공정위치에 놓여진 상기 기판 홀더의 일측에 설치되어 상기 공정공간을 향해 반응가스를 공급하는 공급관; 및
    상기 공정위치에 놓여진 상기 기판 홀더의 타측에 설치되며, 상기 공정공간을 진공 상태로 유지하고 상기 공정공간 내의 미반응가스 및 반응부산물을 배기하는 배기관을 더 구비하는 것을 특징으로 하는 반도체 제조설비.
  6. 제5항에 있어서,
    상기 공급관은 상기 공정위치에 놓여진 상기 기판 홀더에 적재된 상기 기판들의 사이에 각각 위치하는 복수의 공급홀들을 가지며,
    상기 배기관은 상기 공정위치에 놓여진 상기 기판 홀더에 적재된 상기 기판들의 사이에 각각 위치하는 복수의 배기홀들을 가지는 것을 특징으로 하는 반도체 제조설비.
  7. 제3항에 있어서,
    상기 에피택셜 챔버는 상기 반응튜브의 외측에 설치되어 상기 공정공간을 가열하는 히터를 더 포함하는 것을 특징으로 하는 반도체 제조설비.
  8. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 이송 챔버는 상기 에피택셜 챔버를 향해 상기 기판이 출입하는 이송 통로를 가지고, 상기 에피택셜 챔버는 상기 기판이 출입하는 에피택셜 통로를 가지며,
    상기 반도체 제조설비는 상기 에피택셜 챔버와 상기 이송 챔버를 격리하는 에피택셜측 게이트 밸브를 더 포함하는 것을 특징으로 하는 반도체 제조설비.
  9. 제1항에 있어서,
    상기 반도체 제조설비는 상기 이송 챔버의 측면에 연결되며 상기 기판을 적재하는 적재공간을 구비하는 버퍼 챔버를 더 포함하며,
    상기 기판 핸들러는 상기 세정 공정이 완료된 상기 기판을 상기 적재공간에 순차적으로 적재한 후 적재된 상기 기판들을 상기 에피택셜 챔버로 이송하며, 상기 에피택셜 층이 형성된 상기 기판을 상기 적재공간에 순차적으로 적재하는 것을 특징으로 하는 반도체 제조설비.
  10. 제9항에 있어서,
    상기 적재공간은 상기 세정 공정이 완료된 상기 기판이 적재되는 제1 적재공간과 상기 에피택셜 층이 형성된 상기 기판이 적재되는 제2 적재공간을 구비하는 것을 특징으로 하는 반도체 제조설비.
KR1020110077100A 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비 KR101271247B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020110077100A KR101271247B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비
TW101126740A TWI524455B (zh) 2011-08-02 2012-07-25 製造半導體之設備
CN201280037822.6A CN103733307B (zh) 2011-08-02 2012-07-31 用于外延工艺的半导体制造设备
US14/235,896 US20140190410A1 (en) 2011-08-02 2012-07-31 Equipment for manufacturing semiconductor
JP2014523841A JP5844900B2 (ja) 2011-08-02 2012-07-31 エピタキシャルプロセスのための半導体製造設備
PCT/KR2012/006105 WO2013019062A2 (ko) 2011-08-02 2012-07-31 에피택셜 공정을 위한 반도체 제조설비

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110077100A KR101271247B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비

Publications (2)

Publication Number Publication Date
KR20130015222A true KR20130015222A (ko) 2013-02-13
KR101271247B1 KR101271247B1 (ko) 2013-06-07

Family

ID=47629797

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110077100A KR101271247B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비

Country Status (6)

Country Link
US (1) US20140190410A1 (ko)
JP (1) JP5844900B2 (ko)
KR (1) KR101271247B1 (ko)
CN (1) CN103733307B (ko)
TW (1) TWI524455B (ko)
WO (1) WO2013019062A2 (ko)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220086578A (ko) * 2019-09-22 2022-06-23 어플라이드 머티어리얼스, 인코포레이티드 멀티-웨이퍼 볼륨 단일 이송 챔버 패싯
CN113718331B (zh) * 2021-11-02 2022-02-08 芯三代半导体科技(苏州)有限公司 一种用于碳化硅外延薄膜生长的设备及其控制方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JP3122883B2 (ja) * 1989-10-18 2001-01-09 東芝機械株式会社 気相成長装置
JP3200460B2 (ja) * 1992-02-07 2001-08-20 東京エレクトロン株式会社 成膜処理装置
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JPH09295890A (ja) * 1996-04-26 1997-11-18 Mitsubishi Chem Corp 半導体製造装置および半導体製造方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
DE102004024207B4 (de) * 2004-05-10 2016-03-24 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verfahren und Vorrichtung zur Niedertemperaturepitaxie auf einer Vielzahl von Halbleitersubstraten
CN100521092C (zh) * 2004-11-08 2009-07-29 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US8293646B2 (en) * 2004-11-08 2012-10-23 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
KR20090124118A (ko) * 2008-05-29 2009-12-03 주식회사 뉴파워 프라즈마 기판 처리 시스템
KR101015228B1 (ko) * 2008-09-09 2011-02-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법
JP2010153467A (ja) * 2008-12-24 2010-07-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2010239115A (ja) * 2009-03-10 2010-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법

Also Published As

Publication number Publication date
KR101271247B1 (ko) 2013-06-07
US20140190410A1 (en) 2014-07-10
CN103733307A (zh) 2014-04-16
JP5844900B2 (ja) 2016-01-20
TWI524455B (zh) 2016-03-01
CN103733307B (zh) 2016-05-25
TW201316430A (zh) 2013-04-16
JP2014524658A (ja) 2014-09-22
WO2013019062A2 (ko) 2013-02-07
WO2013019062A3 (ko) 2013-06-13

Similar Documents

Publication Publication Date Title
KR101271247B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101271248B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101252742B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101371435B1 (ko) 처리유닛을 포함하는 기판 처리 장치
KR101364701B1 (ko) 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101408084B1 (ko) 보조가스공급포트를 포함하는 기판 처리 장치
KR101380240B1 (ko) 열차단플레이트를 포함하는 기판 처리 장치
KR101308111B1 (ko) 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
TWI579947B (zh) 處理基板的設備
CN109891555B (zh) 低温外延层形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160503

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170502

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180504

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190502

Year of fee payment: 7