CN103691714B - 一种清洗装置和清洗方法 - Google Patents

一种清洗装置和清洗方法 Download PDF

Info

Publication number
CN103691714B
CN103691714B CN201310710172.3A CN201310710172A CN103691714B CN 103691714 B CN103691714 B CN 103691714B CN 201310710172 A CN201310710172 A CN 201310710172A CN 103691714 B CN103691714 B CN 103691714B
Authority
CN
China
Prior art keywords
cleaned
cleaning fluid
body surface
free radical
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310710172.3A
Other languages
English (en)
Other versions
CN103691714A (zh
Inventor
井杨坤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BOE Technology Group Co Ltd
Hefei BOE Optoelectronics Technology Co Ltd
Original Assignee
BOE Technology Group Co Ltd
Hefei BOE Optoelectronics Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BOE Technology Group Co Ltd, Hefei BOE Optoelectronics Technology Co Ltd filed Critical BOE Technology Group Co Ltd
Priority to CN201310710172.3A priority Critical patent/CN103691714B/zh
Publication of CN103691714A publication Critical patent/CN103691714A/zh
Application granted granted Critical
Publication of CN103691714B publication Critical patent/CN103691714B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B11/00Cleaning flexible or delicate articles by methods or apparatus specially adapted thereto
    • B08B11/04Cleaning flexible or delicate articles by methods or apparatus specially adapted thereto specially adapted for plate glass, e.g. prior to manufacture of windshields

Abstract

本发明涉及清洁工具技术领域,特别涉及一种清洗装置及清洗方法,用于在不损伤物体表面的情况下,利用该清洗装置和清洗方法可以有效地去除物体表面异物。本发明公开的清洗装置包括:使清洗液产生自由基的自由基产生器;与自由基产生器连通、接收从自由基产生器输出的含有自由基的清洗液的暂存箱,暂存箱具有将含有自由基的清洗液喷射到待清洗物体表面的至少一个喷头;相对设置且形成覆盖待清洗物体表面的电场的阳极和阴极,含有自由基的清洗液喷射到待清洗物体表面后,清洗液中的自由基在阳极和阴极形成的电场作用下运动并与待清洗物体表面的异物发生化学反应,使待清洗物体表面的异物脱离待清洗物体表面。

Description

一种清洗装置和清洗方法
技术领域
本发明涉及清洁工具技术领域,特别涉及一种清洗装置和清洗方法。
背景技术
目前,在显示面板如液晶显示面板的制造过程中,通常在将基板组装前对基板表面进行清洁处理,以去除基板表面附着的微粒等异物,从而提高显示面板的良品率。所采用的清洁工具为超声波清洗装置,具体清洗过程为:首先将待清洗基板放入装有清洗液的清洗槽中,超声波发生器对清洗液施加超声波,利用超声波的振动使得清洗液中产生大量的气泡或空穴,当这些气泡或空穴破裂时,会冲击基板表面附着的微粒等异物,从而将微粒等异物从基板表面剥离,进而达到去除基板表面异物的目的。
不过,本申请发明人发现,在利用超声波制造对基板进行清洗后,基板表面还存在一些顽固微粒,特别是具有取向膜层的基板,这些顽固微粒的存在将会影响显示面板的良品率,如果通过减小超声波的频率来加大超声波的振幅来去除这些顽固微粒,可能会损伤基板表面或取向膜层表面。因此,如何提供一种可以有效地去除待清洗物体表面的异物、同时又不损伤待清洗物体表面的清洗装置成为当前急需解决的问题。
发明内容
本发明的目的在于提供一种清洗装置和清洗方法,在不损伤待清洗物体表面的情况下,利用该清洗装置和清洗方法可以有效地去除待清洗物体表面的异物。
为了实现上述目的,本发明提供以下技术方案:
一种清洗装置,包括:
使清洗液产生自由基的自由基产生器;
与所述自由基产生器连通、接收从所述自由基产生器输出的含有自由基的清洗液的暂存箱,所述暂存箱具有将含有自由基的清洗液喷射到待清洗物体表面的至少一个喷头;
相对设置且形成覆盖所述待清洗物体表面的电场的阳极和阴极,含有自由基的清洗液喷射到所述待清洗物体的表面后,清洗液中的自由基在所述阳极和阴极形成的电场作用下运动并与所述待清洗物体表面的异物发生化学反应,使所述待清洗物体表面的异物脱离待清洗物体表面。
优选地,所述阳极和所述阴极分别为平板状电极。
优选地,所述阳极为圆筒状电极,所述阴极为圆柱状电极,或,所述阴极为圆筒状电极,所述阳极为圆柱状电极。
进一步地,上述清洗装置还包括:用于回收从各个所述喷头喷出的清洗液的清洗槽,所述清洗槽中设置有用于支撑待清洗物体的支撑件。
优选地,所述清洗槽为矩形槽,所述阳极和所述阴极分别为平板状电极;所述阳极和所述阴极分别设置于所述矩形槽的相对的两个侧壁上。
进一步地,上述清洗装置还包括:位于所述暂存箱旁、用于增加所述暂存箱内的自由基的活性的超声波发生器,以及与所述超声波发生器信号连接、以控制所述超声波发生器的频率的控制器。
优选地,所述清洗槽位于所述暂存箱的下方,放置于所述支撑件上的待清洗物体与各个所述喷头相对;所述超声波发生器位于所述暂存箱的上方,所述超声波发生器增加所述暂存箱内自由基活性的同时,也使所述清洗槽中的清洗液产生振动以冲击待清洗物体表面的异物。
进一步地,上述清洗装置还包括:与所述自由基发生器连通的气液混合泵,通过输液管路与所述气液混合泵连通的液体加压罐,通过输气管路与所述气液混合泵连通的气体加压罐,以及设置于所述输液管路上的液体控制阀,设置于所述输气管路上的气体控制阀;清洗液所需的液体和气体分别进入所述液体加压罐中和所述气体加压罐中加压,并通过对应的所述输液管路和所述输气管路输出至所述气液混合泵中混合形成所需的清洗液。
优选地,所述液体控制阀和所述气体控制阀分别与所述控制器信号连接,所述控制器通过控制所述液体控制阀的开度和所述气体控制阀的开度来控制所述清洗液中的气液比率。
本发明同时还提供了一种利用上述技术方案所提的清洗装置去除待清洗物体表面的异物的清洗方法,包括:
将待清洗物体放置于所述阳极和所述阴极形成的电场内,并与所述至少一个喷头相对;
清洗液经过所述自由基产生器后输出给所述暂存箱含有自由基的清洗液,含有自由基的清洗液从各个所述喷头喷淋到待清洗物体表面;
清洗液中的自由基在所述阳极和阴极形成的电场作用下运动并与所述待清洗物体表面的异物发生化学反应,使所述待清洗物体表面的异物脱离待清洗物体表面。
当采用本发明提供的清洗装置对待清洗物体进行表面异物清洗时,首先将待清洗物体放置于阳极和阴极形成的电场内,并与各个喷头相对,以保证清洗液可以喷淋到待清洗物体的需要清洁的面上;接下来供应清洗液,清洗液首先进入自由基产生器中,在自由基产生器中的交变高频电磁场作用下,清洗液中产生大量的自由基,然后,含有自由基的清洗液通过各个喷头喷淋到待清洗物体的表面并形成清洗液膜层,因清洗液中含有大量的自由基,因此,大量的自由基在阳极和阴极形成的电场作用下,正性自由基朝向阴极运动,负性自由基朝向阳极运动,当自由基与待清洗物体表面的异物相遇时,会发生化学反应,生成溶于清洗液的物质,从而去除了待清洗物体表面的异物。
从上述技术方案可知,与现有技术采用超声波清洗装置对待清洗物体进行清洗相比,本发明提供的清洗装置可以在不损伤待清洗物体表面的情况下,有效地去除待清洗物体表面的异物。
附图说明
图1为本发明实施例提供的一种清洗装置的结构示意图;
图2为图1中A向视图;
图3为本发明实施例提供的另一种清洗装置的结构示意图。
附图标记:
1-待清洗物体,10-自由基产生器,
20-暂存箱,21-喷头,
30-阳极,31-阴极,
40-清洗槽,41-支撑件,
50-超声波发生器,60-控制器,
70-气液混合泵,80-液体加压罐,
81-输液管路,82-液体控制阀
90-气体加压泵,91-输气管路,
92-气体控制阀。
具体实施方式
现有使用超声波清洗装置来清除物体表面的异物,但存在清除不彻底,加大超声波能量又会损伤物体表面,有鉴于此,本发明提供了一种在不损伤物体表面的情况下、有效地去除物体表面异物的清洗装置,包括自由基产生器,与自由基产生器连通的暂存箱,以及相对设置形成覆盖待清洗物体表面的电场的阳极和阴极,含有自由基的清洗液喷射到所述待清洗物体表面后,清洗液中的自由基在所述阳极和阴极形成的电场作用下运动并与所述待清洗物体表面的异物发生化学反应,使所述待清洗物体表面的异物脱离待清洗物体表面。
为了使本领域技术人员更好的理解本发明的技术方案,下面结合说明书附图对本发明实施例进行详细的描述。
请参阅图1和图2,其中,图1为本发明实施例提供的一种清洗装置的结构示意图;图2为图1中A向视图。
本发明实施例提供的清洗装置包括:
使清洗液产生自由基的自由基产生器10;
与自由基产生器10连通、接收从自由基产生器10输出的含有自由基的清洗液的暂存箱20,暂存箱20具有将含有自由基的清洗液喷射到待清洗物体1表面的至少一个喷头21;
相对设置且形成覆盖待清洗物体1表面的电场的阳极30和阴极31,含有自由基的清洗液喷射到待清洗物体1的表面后,清洗液中的自由基在阳极30和阴极31的电场作用下运动并与待清洗物体1表面的异物发生化学反应,使待清洗物体1表面的异物脱离待清洗物体1表面。
具体实施时,上述暂存箱20用于暂时存储含有自由基的清洗液,以及将含有自由基的清洗液喷射到待清洗物体1的表面;暂存箱20包括:箱体,以及与箱体连通用于将含有自由基的清洗液喷射到待清洗物体1表面的至少一个喷头21,为了到达喷淋效果,通常在各个喷头21分别与箱体连通的各个管道上,分别设置一个加压泵,以便于将含有自由基的清洗液喷淋的喷淋到待清洗物体1表面;或者,上述箱体采用密封箱体,含有自由基的清洗液进入密封箱体后,会增加密封箱体内压力,进而使清洗液从各个喷头21喷淋到待清洗物体1表面。喷头21的数量和设置方式可以根据待清洗物体1形状和大小而定,例如,当待清洗物体1为基板时,通常将基板水平放置,以防止基板变形以及便于在基板的待清洗面上清洗液膜层,此时,各个喷头21一般位于待清洗物体1的上方,如图1所示,各个喷头21位于箱体的底部、待清洗基板的上方。
在上述实施例中,阳极30与阴极31的形状及大小的可以根据需要批量清洗的待清洗物体1的形状和大小而定,例如:当需要批量清洗的待清洗物体1的形状为板状结构时,如基板、掩模板、半导体板或电路板,阳极30和阴极31分别为板状电极。如图1所示,在需要对板状结构的待清洗物体1进行时,待清洗物体1可以放置在阳极30和阴极31之间,也可以不放置于阳极30和阴极31之间,但需要保证阳极30和阴极31形成的电场覆盖待清洗物体1。
又如,当需要批量清洗的待清洗物体1的形状为圆筒状结构时,如圆柱状水杯、圆柱状灯管等,优选地,阳极30为圆筒状电极,阴极31为圆柱状电极,或,阴极31为圆筒状电极,阳极30为圆柱状电极;在对待清洗物体1进行清洗时,待清洗物体1放置于圆筒状电极的圆筒内,圆柱状电极插入待清洗物体1的圆筒内。
当采用上述实施例提供的清洗装置对待清洗物体1进行清洗时,首先将待清洗物体1放置于阳极30和阴极31形成的电场内,优选地将待清洗物体1放置于阳极30和阴极31之间,且待清洗物体1与各个喷头21相对,以保证清洗液可以喷淋到待清洗物体1的需要清洁的面上;接下来供应清洗液,清洗液首先进入自由基产生器10中,在自由基产生器10中的交变高频电磁场作用下,在清洗液中产生大量的自由基,然后,含有自由基的清洗液通过各个喷头21喷淋到待清洗物体1的表面并形成清洗液膜层,因清洗液中含有大量的自由基,因此,清洗液膜层中的自由基在阳极30和阴极31形成的电场作用下会产生运动,即正性自由基朝向阴极31运动,负性自由基朝向阳极30运动,当自由基与待清洗物体1表面的异物相遇时,会发生化学反应,生成溶于清洗液的物质,从而去除了待清洗物体1表面的异物。
从上述技术方案可知,采用本发明实施例提供的清洗装置对待清洗物体1进行清洗,利用自由基产生器10使清洗液产生大量的自由基,利用相对设置的阳极30和阴极31形成的电场,也可以说利用相对设置的阳极30和阴极31形成的电泳装置,当含有自由基的清洗液喷淋到待清洗物体1表面后,自由基会发生运动,当自由基与待清洗物体1表面的异物相遇时,会发生化学反应,生成溶于清洗液的物质,从而可以在不损伤待清洗物体表面的情况下,有效地去除待清洗物体1表面的异物。
值得一提的是,上述清洗液的选择可以根据待清洗物体1的材质以及待清洗物体1表面的异物的化学性质而定,例如,在对玻璃基板类待清洗物体1进行清洗时,优选地清洗液为碳酸溶液、亚碳酸溶液、其它弱酸酐(如无机酸)类溶液或弱碱类溶液,清洗液经过自由基产生器10后会产生氢自由基(H+)或羟基游离基(OH-)。具体地,例如当采用碳酸溶液作为清洗液时,经过自由基产生器10后所产生的自由基为H+自由基、HCO3 -自由基和CO3 -自由基,碳酸溶液电离过程分两步,具体如下方程式:
H2CO3=HCO3 -+H+
HCO3=CO3 -+H+
这些自由基在电场的作用下会朝向与其异性的电极运动,当自由基与待清洗物体1表面的异物相遇时,会发生化学反应,生成溶于清洗液的物质,从而去除了待清洗物体1表面的异物。此外,因碳酸类溶液中包含水,在通过自由基产生器10时,水被交变高频电磁场激励后,水分子被激活,缔结连状被打断,成为单个小分子,水中电子被动地从低能阶轨道跃迁向高能阶轨道,使电子云的分布和形态发生变化;非极性分子H2、O2、N2被交变高频电磁场的外界力诱导成极性分子,水中的偶极矩增加,渗透压增强,活性增加,PH值增大,导电率下降。水中的盐类阴、阳离子被偶极子包围,使之不能任意运动。交变高频电磁场由于不断改变磁力线的方向,在二极性分子产生异极吸引同极排斥的作用下。使水分子振动频率增强、渗透压增强、活性增加,激励基板表面的微粒失去原有引力,回复游离状态,悬浮在水中。基板表面的微粒在很短时间内逐渐被激成悬浮态被水流带走,从而具有很好的去微粒效果。
再者,交变高频电磁场使碳酸类溶液中水的自由基发生变化,产生对有微粒等异物破坏性极强的活性氧(O2、H2O2、OH、O3),因此能够有效地去除基板表面的有机污物。
在上述实施方式的基础上,上述清洗装置还包括:用于回收从各个喷头21喷出的清洗液的清洗槽40,清洗槽40中设置有用于支撑待清洗物体1的支撑件41;如此设计,一方面可以回收清洗液,以免污染环境;另一方面,还可以利用这些清洗液冲洗待清洗物体1。具体地,继续参阅图1和图2,清洗槽40形状为矩形槽,支撑件41为设置于清洗槽40内的多个导辊,既可以用于支撑待清洗物体1,又可以用于传输待清洗物体1,以便于实现连续清洗,从而提高清洗效率;阳极30和阴极31分别为平板状电极,且阳极30和阴极31分别设置于矩形槽的相对的两个侧壁上,既可以相对设置于矩形槽内,也可以相对设置于矩形槽外;如图2所示,阳极30和阴极31分别设置于矩形槽内,可以形成水平方向的电场;当然,阳极30和阴极31还可以上下设置,以形成竖直方向的电场,如将阳极30设置于矩形槽底部,阴极31设置于阳极30的上方,或,将阴极31设置于矩形槽底部,阳极30设置于阴极31的上方。
请参阅图3,为本发明实施例提供的另一种清洗装置的结构示意图;在上述各实施方式的基础上,清洗装置还包括:位于暂存箱20旁、用于增加暂存箱20内的自由基的活性的超声波发生器50,以及与超声波发生器50信号连接、以控制超声波发生器50的频率的控制器60。具体地,超声波发生器50可以设置于暂存箱20的左侧、右侧或上侧,利用超声波使暂存箱20内的清洗液产生振动,以此来增加清洗液中的自由基的活性,从而提高对待清洗物体1表面的清洁效果。
进一步地,清洗槽40位于暂存箱20的下方,放置于支撑件21上的待清洗物体1与各个喷头21相对;超声波发生器50位于暂存箱20的上方,超声波发生器50在增加暂存箱20内自由基活性的同时,也使清洗槽40中的清洗液产生振动以冲击待清洗物体表面的异物,从而进一步提高对待清洗物体1表面的清洁效果。
继续参阅图3,上述清洗装置还包括:与自由基发生器10连通的气液混合泵70,通过输液管路81与气液混合泵70连通的液体加压罐80,通过输气管91与气液混合泵70连通的气体加压罐90,以及设置于输液管路81上的液体控制阀82,设置于输气管路91上的气体控制阀92;清洗液所需的液体和气体分别进入液体加压罐80中和气体加压罐90中加压,并通过对应的输液管路81和输气管路91输出至气液混合泵70中混合形成所需的清洗液。也就是说,利用液体加压罐80、气体加压罐90和气液混合泵70可以制作清洗装置所需的清洗液。例如,当清洗液选用碳酸溶液时,清洗液的制作过程如下:
首先将水(H2O)充入进液体加压罐80中加压,将二氧化碳(CO2)气体充入气体加压罐90中加压,然后通过控制液体控制阀82的开度和气体控制阀92的开度,控制气液混合比率,使CO2和H2O经气液混合泵70中混合后形成超饱和碳酸溶液,即形成所需的清洗液。
为了便于控制气液混合比率,优选地,液体控制阀82和气体控制阀92分别与控制器60信号连接,控制器60通过控制液体控制阀82的开度和气体控制阀92的开度来控制所述清洗液中的气液比率;在此,上述液体控制阀82和气体控制阀92具体为电磁控制阀、气动控制阀、旋转控制阀或步进电机控制阀等,首先在控制器6中预设有多种气液混合比率,然后根据需要使控制器60选择的相应的气液混合比率,通过控制液体控制阀82的开度和气体控制阀92的开度来实现所需的气液比率。
本发明实施例同时还提供了一种利用上述技术方案所提的清洗装置去除待清洗物体表面的异物的清洗方法,包括:
将待清洗物体1放置于阳极30和阴极31形成的电场内,并与至少一个喷头20相对;
清洗液经过自由基产生器10后输出给暂存箱20含有自由基的清洗液,含有自由基的清洗液从各个喷头21喷淋到待清洗物体1表面;
清洗液中的自由基在阳极30和阴极31形成的电场作用下运动并与待清洗物体1表面的异物发生化学反应,使待清洗物体1表面的异物脱离待清洗物体1表面。
值得一提的是,在实际生产过程中,首先可以利用现有的超声波清洗装置对待清洗物体进行初步清洗处理,以去除待清洗物体表面附着的大部分异物,然后再利用本发明提供的清洗装置对待清洗物体表面的顽固异物进行清洗,从而有效地去除待清洗物体表面的异物。
综上所述,采用本发明提供的清洗装置对待清洗物体进行表面异物清洗时,利用自由基产生器使清洗液产生大量的自由基,利用相对设置的阳极和阴极形成的电场,当含有自由基的清洗液喷淋到待清洗物体表面后,自由基会发生运动,当自由基与待清洗物体表面的异物相遇时,会发生化学反应,生成溶于清洗液的物质,从而可以在不损伤待清洗物体表面的情况下,有效地去除待清洗物体表面的异物。
显然,本领域的技术人员可以对本发明进行各种改动和变型而不脱离本发明的精神和范围。这样,倘若本发明的这些修改和变型属于本发明权利要求及其等同技术的范围之内,则本发明也意图包含这些改动和变型在内。

Claims (10)

1.一种用于去除待清洗物体表面的异物的清洗装置,其特征在于,包括:
使清洗液产生自由基的自由基产生器;
与所述自由基产生器连通、接收从所述自由基产生器输出的含有自由基的清洗液的暂存箱,所述暂存箱具有将含有自由基的清洗液喷射到待清洗物体表面的至少一个喷头;
相对设置且形成覆盖所述待清洗物体表面的电场的阳极和阴极,含有自由基的清洗液喷射到所述待清洗物体的表面后,清洗液中的自由基在所述阳极和阴极形成的电场作用下运动并与所述待清洗物体表面的异物发生化学反应,使所述待清洗物体表面的异物脱离待清洗物体表面。
2.如权利要求1所述的清洗装置,其特征在于,所述阳极和所述阴极分别为平板状电极。
3.如权利要求1所述的清洗装置,其特征在于,所述阳极为圆筒状电极,所述阴极为圆柱状电极,或,所述阴极为圆筒状电极,所述阳极为圆柱状电极。
4.如权利要求1所述的清洗装置,其特征在于,还包括:用于回收从各个所述喷头喷出的清洗液的清洗槽,所述清洗槽中设置有用于支撑待清洗物体的支撑件。
5.如权利要求4所述的清洗装置,其特征在于,所述清洗槽为矩形槽,所述阳极和所述阴极分别为平板状电极;所述阳极和所述阴极分别设置于所述矩形槽的相对的两个侧壁上。
6.如权利要求4或5所述的清洗装置,其特征在于,还包括:位于所述暂存箱旁、用于增加所述暂存箱内的自由基的活性的超声波发生器,以及与所述超声波发生器信号连接、以控制所述超声波发生器的频率的控制器。
7.如权利要求6所述的清洗装置,其特征在于,
所述清洗槽位于所述暂存箱的下方,放置于所述支撑件上的待清洗物体与各个所述喷头相对;
所述超声波发生器位于所述暂存箱的上方,所述超声波发生器在增加所述暂存箱内自由基活性的同时,也使所述清洗槽中的清洗液产生振动以冲击待清洗物体表面的异物。
8.如权利要求6所述的清洗装置,其特征在于,还包括:与所述自由基发生器连通的气液混合泵,通过输液管路与所述气液混合泵连通的液体加压罐,通过输气管路与所述气液混合泵连通的气体加压罐,以及设置于所述输液管路上的液体控制阀,设置于所述输气管路上的气体控制阀;清洗液所需的液体和气体分别进入所述液体加压罐中和所述气体加压罐中加压,并通过对应的所述输液管路和所述输气管路输出至所述气液混合泵中混合形成所需的清洗液。
9.如权利要求8所述的清洗装置,其特征在于,所述液体控制阀和所述气体控制阀分别与所述控制器信号连接,所述控制器通过控制所述液体控制阀的开度和所述气体控制阀的开度来控制所述清洗液中的气液比率。
10.一种如权利要求1中所述的清洗装置的清洗方法,其特征在于,包括:
将待清洗物体放置于所述阳极和所述阴极形成的电场内,并与所述至少一个喷头相对;
清洗液经过所述自由基产生器后输出给所述暂存箱含有自由基的清洗液,含有自由基的清洗液从各个所述喷头喷淋到待清洗物体表面;
清洗液中的自由基在所述阳极和阴极形成的电场作用下运动并与所述待清洗物体表面的异物发生化学反应,使所述待清洗物体表面的异物脱离待清洗物体表面。
CN201310710172.3A 2013-12-19 2013-12-19 一种清洗装置和清洗方法 Active CN103691714B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310710172.3A CN103691714B (zh) 2013-12-19 2013-12-19 一种清洗装置和清洗方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310710172.3A CN103691714B (zh) 2013-12-19 2013-12-19 一种清洗装置和清洗方法

Publications (2)

Publication Number Publication Date
CN103691714A CN103691714A (zh) 2014-04-02
CN103691714B true CN103691714B (zh) 2015-12-02

Family

ID=50353470

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310710172.3A Active CN103691714B (zh) 2013-12-19 2013-12-19 一种清洗装置和清洗方法

Country Status (1)

Country Link
CN (1) CN103691714B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106513376B (zh) * 2016-10-31 2022-05-31 辽宁工程技术大学 超声波清洗方法及装置
CN107030057A (zh) 2017-05-11 2017-08-11 惠科股份有限公司 一种振动式清洗装置
CN112316732B (zh) * 2020-09-22 2021-12-10 宁波方太厨具有限公司 一种快速浸润和清洗膜丝的装置及其利用方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036785A (en) * 1997-05-02 2000-03-14 Ferrell; Gary W. Method for removing chemical residues from a surface
JP2004335783A (ja) * 2003-05-08 2004-11-25 Sharp Corp ウェット洗浄処理装置およびウェット洗浄処理方法
CN102459092A (zh) * 2009-06-03 2012-05-16 仓敷纺织株式会社 含羟基自由基水供给方法和含羟基自由基水供给装置
CN102791391A (zh) * 2009-11-03 2012-11-21 哈马技术Ape两合公司 处理基片的方法和装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036785A (en) * 1997-05-02 2000-03-14 Ferrell; Gary W. Method for removing chemical residues from a surface
JP2004335783A (ja) * 2003-05-08 2004-11-25 Sharp Corp ウェット洗浄処理装置およびウェット洗浄処理方法
CN102459092A (zh) * 2009-06-03 2012-05-16 仓敷纺织株式会社 含羟基自由基水供给方法和含羟基自由基水供给装置
CN102791391A (zh) * 2009-11-03 2012-11-21 哈马技术Ape两合公司 处理基片的方法和装置

Also Published As

Publication number Publication date
CN103691714A (zh) 2014-04-02

Similar Documents

Publication Publication Date Title
JP6501191B2 (ja) マイクロ・ナノバブルによる洗浄方法及び洗浄装置
JP3286539B2 (ja) 洗浄装置および洗浄方法
CN104735916B (zh) 一种双摇摆喷淋装置
CN103691714B (zh) 一种清洗装置和清洗方法
US20170271145A1 (en) Method and an apparatus for cleaning substrates
EP3046688B1 (en) Ultrasonic cleaning apparatus and method
KR101855200B1 (ko) 글라스패널 세정시스템
CN102284447B (zh) 清洗方法和清洗装置
CN105747246A (zh) 一种环保健康绿色清洗器
CN114260250A (zh) 使用声换能器的清洁设备和方法
CN104874566A (zh) 一种超声波与酸碱复合清洁安瓶的方法
JP5053115B2 (ja) 基板の処理装置及び処理方法
JP6969750B2 (ja) 炭酸水素水及びこれを使用する洗浄方法
KR102107987B1 (ko) 기판 처리 장치
KR101001545B1 (ko) 기판 세정장치 및 방법
KR101322771B1 (ko) 인쇄회로기판 에칭장치
KR100276766B1 (ko) 기판세정장치및기판세정방법
KR101224904B1 (ko) 마스크 세정장치
KR101035051B1 (ko) 버블을 이용한 기판 세정장치 및 방법
JP4592643B2 (ja) 基板処理装置
JP2002301332A (ja) 無機酸を含む気体の処理方法、処理装置、処理システム、及び、洗浄処理装置
JP2005167089A (ja) 基板洗浄装置および基板洗浄方法
JP2007317790A (ja) 基板処理装置および基板処理方法
KR20140089894A (ko) 기판의 식각 장치 및 이를 이용한 식각 방법
JP2011035300A (ja) 洗浄装置、及び洗浄方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant