CN103594496B - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN103594496B
CN103594496B CN201210293525.XA CN201210293525A CN103594496B CN 103594496 B CN103594496 B CN 103594496B CN 201210293525 A CN201210293525 A CN 201210293525A CN 103594496 B CN103594496 B CN 103594496B
Authority
CN
China
Prior art keywords
source
substrate
semi
device manufacturing
conductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210293525.XA
Other languages
English (en)
Other versions
CN103594496A (zh
Inventor
马小龙
殷华湘
付作振
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210293525.XA priority Critical patent/CN103594496B/zh
Priority to US13/812,500 priority patent/US8912070B2/en
Priority to PCT/CN2012/001377 priority patent/WO2014026306A1/zh
Publication of CN103594496A publication Critical patent/CN103594496A/zh
Application granted granted Critical
Publication of CN103594496B publication Critical patent/CN103594496B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明公开了一种半导体器件,包括衬底、衬底上的栅极堆叠结构、栅极堆叠结构两侧衬底中的源漏区、衬底中源漏区之间的沟道区,其特征在于:源漏区中的源区包括GeSn合金,并且源区的GeSn合金与沟道区之间可选地还包括隧穿介质层。依照本发明的半导体器件及其制造方法,通过选择性外延或者注入前驱物然后激光快速退火,形成了具有窄带隙的GeSn合金,有效提高了TFET的开态电流,在高性能低功耗应用中具有重要应用前景。

Description

半导体器件及其制造方法
技术领域
本发明涉及半导体集成电路制造领域,更具体地,涉及一种具有GeSn合金作为源极的隧穿场效应晶体管(TFET)及其制造方法。
背景技术
随着集成电路工艺持续发展,特别是器件尺寸不断等比例缩减,器件的各个关键参数例如阈值电压等也随之减小,功耗减小、集成度提高这些优点促进了器件整体性能提高。然而与此同时,器件的驱动能力却受制于传统的硅材料工艺的限制,载流子迁移率较低,面临了器件驱动能力相比而言不足的问题。因此,高迁移率沟道器件在未来具有重要应用背景。
现有的高迁移率沟道器件通常是采用Si1-xGex或Si1-xCx来作为应力源漏区向Si的沟道区施加应力,或者直接采用这些材料作为衬底和沟道区。在Si1-xGex中引入压应变能够进一步提高空穴的迁移率,相应地在Si1-xCx中引入张应变能够进一步提高电子的迁移率。然而,这两种材料晶格常数与Si差别仍不够大,能够提供的应变有限,难以应用在需要更高驱动能力的器件中。
一种可选的替代材料是GeSn合金,该薄膜具有很高的载流子迁移率,并且可以通过调节Sn的含量调节合金的能带结构,因此广泛应用于先进的CMOS器件和光电子器件中。
然而传统的GeSn合金需要用分子束外延或者CVD,目前仍不成熟或者与CMOS不兼容。此外,由于Sn在Ge中的平衡固溶度非常的低,因此用常规的方法很难得到Sn的含量大于1%的Ge1-xSnx
此外,其他高迁移率材料,诸如GaAs、InSb等也存在类似问题,难以与Si基的CMOS工艺兼容。
另一方面,常规MOSFET的沟道长度缩减时,漏电流随之上升。特别是在30nm以下工艺中,器件的漏电流显著增大,使得整个器件的功耗难以遏制地上升。降低器件功耗的一种途径是采用新型的隧穿场效应晶体管(TFET)结构,通过在源极与沟道区之间增加隧穿介质层,有效降低了漏电流,大大降低了芯片功耗。然而,当尺寸持续缩减到22nm以下时,现有的普通TFET驱动电流较常规MOSFET驱动电流低3~4个数量级,这使得无法兼顾功耗减低与提高驱动能力,器件的整体性能提升有限。
发明内容
有鉴于此,本发明的目的在于提供一种具有GeSn应力区的TFET及其制造方法,克服上述传统工艺的缺陷,有效提高TFET的开态电流Ion和开关电流比Ion/Ioff,也即提高驱动能力的同时还能有效降低功耗。
实现本发明的上述目的,是通过提供一种半导体器件,包括衬底、衬底上的栅极堆叠结构、栅极堆叠结构两侧衬底中的源漏区、衬底中源漏区之间的沟道区,其特征在于:源漏区中的源区包括GeSn合金,并且源区的GeSn合金与沟道区之间可选地还包括隧穿介质层。
其中,沟道区包括Si和/或SiGe。
其中,通过控制Sn含量来调节GeSn合金的禁带宽度。
其中,GeSn合金中Sn含量大于0并且小于30%。
其中,可选地,源漏区上还包括材质相同的提升源漏区。
其中,可选地,隧穿介质层包括氧化硅、氮化硅、氮氧化硅、高k材料及其组合。
其中,源漏区上还包括金属化源漏接触层。
其中,源区与漏区导电类型不同,沟道区为本征未掺杂的。
本发明还提供了一种半导体器件制造方法,包括:在衬底上形成栅极堆叠结构;在栅极堆叠结构一侧的衬底中形成漏区;在栅极堆叠结构另一侧的衬底中形成GeSn材质的源区。
其中,通过控制Sn含量来调节GeSn合金的禁带宽度。
其中,GeSn合金中Sn含量大于0并且小于30%。
其中,形成GeSn材质的源区的方法包括:刻蚀源区的衬底,选择性外延生长、淀积GeSn合金。
其中,形成GeSn材质的源区的方法包括:在栅极堆叠结构另一侧的衬底中注入前驱物;激光快速退火,使得前驱物反应形成GeSn合金,构成源区。
其中,注入前驱物的步骤进一步包括:执行非晶化离子注入,在衬底中形成非晶化区;在非晶化区中注入Sn。
其中,非晶化离子注入的离子包括Ge、B、Ga、In及其组合。
其中,Sn的注入剂量为1×1015~1×1017cm-2
其中,在注入前驱物之后、在激光快速退火之前,在前驱物上形成保护层。
其中,激光快速退火工艺中激光单脉冲时间1ns~1us,脉冲个数为1~100,能量密度为100mJ/cm2~1J/cm2
其中,可选地在源区与沟道之间还形成隧穿介质层,其形成步骤具体包括:刻蚀栅极堆叠结构另一侧的衬底形成源极沟槽,在源极沟槽中沉积隧穿介质薄膜,在隧穿介质层薄膜上形成GeSn合金而构成源区。
依照本发明的半导体器件及其制造方法,通过注入前驱物然后激光快速退火,形成了具有窄带隙的GeSn合金,有效提高了TFET的开态电流,在高性能低功耗应用中具有重要应用前景。
附图说明
以下参照附图来详细说明本发明的技术方案,其中:
图1至图3为根据本发明第一实施例的半导体器件制造方法各步骤的剖面示意图;
图4A以及4B为根据本发明第二实施例的半导体器件制造方法的剖面示意图;以及
图5为根据本发明制造的最终半导体器件的剖面示意图。
具体实施方式
以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”、“厚”、“薄”等等可用于修饰各种器件结构。这些修饰除非特别说明并非暗示所修饰器件结构的空间、次序或层级关系。
根据本发明第一实施例,参照图1至图3,形成了具有GeSn应力源漏区的常规MOSFET器件结构。
首先参照图1,在衬底1上形成栅极堆叠结构2和栅极侧墙3,在栅极堆叠结构2一侧的衬底1中注入形成漏区1D。
提供衬底1,其可以是体Si、SOI、体Ge、GeOI、SiGe、GeSb,也可以是III-V族或者II-VI族化合物半导体衬底,例如GaAs、GaN、InP、InSb等等。此外,也可以是玻璃、塑料、树脂等透明基板。为了与现有的CMOS工艺兼容以应用于大规模数字集成电路制造,衬底1优选地为体Si(单晶硅晶片)、SOI晶片。
在衬底1中先刻蚀形成浅沟槽,然后采用快速热氧化(RTO)、LPCVD、PECVD、HDPCVD等常规方法,在浅沟槽中沉积填充氧化物(例如氧化硅)从而形成浅沟槽隔离(STI)1A。STI1A包围的衬底区域即构成器件的有源区。
优选地,在STI 1A包围的有源区内形成埋层(未示出),埋层用于增强源漏区向沟道区施加的应力或者增强沟道区自身的应力,从而进一步提高载流子迁移率。埋层材质是晶格常数介于衬底Si与稍后的源漏区GeSn之间的材料,例如是SiGe。形成埋层的方法可以是可选地在衬底1上沉积缓冲层、在衬底/缓冲层上外延生长SiGe埋层、以及可选地在埋层上再外延生长Si或者Ge顶层。此外,形成埋层的方法还可以是将Ge离子注入到Si衬底中一定深度,随后退火使得注入的掺杂离子与衬底反应形成SiGe埋层。埋层与衬底1表面的距离也即埋层深度,依照沟道区应力分布需要而通过控制外延或者注入工艺参数而设定,埋层深度例如是10~30nm。
通过LPCVD、PECVD、HDPCVD、MOCVD、MBE、ALD、蒸发、溅射等常规方法,在衬底1上依次沉积栅极绝缘层2A、栅极导电层2B,并随后刻蚀形成栅极堆叠结构2A/2B。在前栅工艺中,栅极堆叠结构将一直保留,栅极绝缘层2A是高k材料,包括但不限于氮化物(例如SiN、AlN、TiN)、金属氧化物(主要为副族和镧系金属元素氧化物,例如Al2O3、Ta2O5、TiO2、ZnO、ZrO2、HfO2、CeO2、Y2O3、La2O3)、钙钛矿相氧化物(例如PbZrxTi1-xO3(PZT)、BaxSr1-xTiO3(BST));栅极导电层2B是金属和/或金属氮化物,其中金属包括Al、Ti、Cu、Mo、W、Ta,金属氮化物包括TiN、TaN。在后栅工艺中,此时的栅极堆叠结构是假栅极堆叠结构,在后续工艺中将去除,栅极绝缘层2A包括氧化硅、氮氧化硅,栅极导电层2B是多晶硅、非晶硅。层2A厚度例如是1~5nm,层2B厚度例如是10~100nm。
优选地,在衬底1以及栅极堆叠结构2A/2B上通过PECVD、HDPCVD等常规方法沉积氮化硅、氮氧化硅、类金刚石无定形碳(DLC)等介质材料并刻蚀形成栅极侧墙3。
执行漏区离子注入,在栅极堆叠结构2A/2B一侧(左侧或者右侧均可)的衬底1中形成具有第一掺杂类型的漏区1D,例如为n+的漏区。掺杂离子的种类可以是P、As、N等,掺杂剂量和注入能量依照结深控制以及掺杂浓度需要而合理设定。
可选地,参照图2,在源区与衬底(沟道)之间还形成隧穿介质层。其形成步骤具体包括:刻蚀源区,沉积隧穿介质薄膜。具体地,光刻/刻蚀栅极堆叠结构2另一侧的衬底1,形成源区沟槽1ST。在源区沟槽1ST中通过LPCVD、PECVD、HDPCVD、MBE、ALD等方法沉积隧穿介质层4,其材质可以是氧化硅、氮化硅、氮氧化硅、高k材料及其组合,优选地为上述多种材料的层叠结构。隧穿介质层4的厚度依照器件性能需要而选定,例如是1~10nm。
参照图3以及图4A至图4B,形成源区1S。
其中图3对应于本发明一个实施例,其中选择性外延生长GeSn合金材料的源区1S。在该步骤中,通过PECVD、HDPCVD、MBE、ALD等方法在源区沟槽1ST中选择性外延生长源区1S,其前驱物中至少包含Ge以及Sn元素,形成的源区1S为GeSn合金材质。通过控制前驱物例如原料气、固体的配比流速以及反应室内压强和温度等工艺参数,可以控制合金层的厚度以及Ge1-xSnx合金中Sn的含量(原子数目比)。优选地,0<x<0.3。优选地,在外延生长GeSn合金时同步进行原位掺杂,使得源区1S具有不同于漏区1D掺杂类型的第二掺杂类型,例如为p+。与之对应的,提供衬底1(构成沟道区)时可以是未掺杂的本征衬底1,也可以是具有轻掺杂的第二掺杂类型,例如p-。
图4A以及图4B示出了本发明另一实施例,其中通过注入前驱物(图4A),之后激光退火处理(图4B),形成GeSn合金的源区1S。首先在源区沟槽1ST中外延生长或者CVD沉积Si、SiGe等与衬底1材质相同的材料,以用作源区基材。以上这些沉积方法可以是PECVD、HDPCVD、MBE、ALD等。执行掺杂注入,以栅极侧墙3为掩模,在栅极侧墙3一侧的源区1S中注入前驱物,形成前驱物的掺杂区1Sd。
首先执行非晶化离子注入(PAI)。注入能量例如是10~200KeV,注入剂量例如是1×1015~1×1017cm-2。当衬底1及其源区基材1S为Si时,注入离子是Ge。注入的Ge离子破坏了待形成源漏区的衬底1及其源区基材1S表面一定区域(例如距离表面10~20nm)内的晶格,使其非晶化而构成非晶化区(未示出),以利于稍后进一步离子注入、以及退火时反应形成合金。
优选地,非晶化离子注入之前和/或之后,进一步在非晶化区中注入B、Ga、In等杂质离子,以调整源漏区导电类型和浓度。
此外,当衬底1及其源区基材1S为SiGe或者是含有SiGe埋层的Si时(也即衬底本身含有Ge),非晶化注入离子是B、Ga、In等杂质离子,在非晶化的同时也调整源漏区导电类型和浓度,因此不再额外地执行上述调节源漏导电类型和浓度的杂质注入。
非晶化离子注入之后,在非晶化区中注入Sn。注入能量例如是20~200KeV,注入剂量例如是1×1015~1×1017cm-2并优选1×1016cm-2。至此,非晶化区中至少包含了Ge和Sn两种掺杂离子以用作前驱物,从而构成了前驱物的掺杂区1Sd。
此外,也可以在注入Sn之后再注入B、Ga、In等杂质离子。
优选地,在前驱物掺杂区1Sd上形成保护层(未示出)。例如采用PECVD、LPCVD等方法并且降低沉积温度从而形成低温保护层,也即低温沉积保护层,例如低温氧化硅(LTO),沉积温度例如低于400℃以避免此时Ge与Sn提前反应。或者通过旋涂、丝网印刷、喷涂等方法,采用PSG、BPSG等玻璃材料,甚至可以是光刻胶等树脂材料来形成保护层,用于避免稍后的激光处理过度而损坏材料。自然,如果能良好调整激光处理参数,保护层也可以省略。
然后,参照图4B,执行激光快速退火,使得前驱物的掺杂区1Sd中Ge与Sn反应形成GeSn,从而构成GeSn的源区1S。采用激光脉冲照射前驱物掺杂区1Sd,使得至少包含Ge与Sn这两种前驱物的掺杂区1Sd表面快速升温融化并且相互反应,并且在冷却的过程中以相同于衬底1和/或SiGe埋层的晶向结晶,最终形成Ge1-xSnx合金,其晶格常数大于沟道材料的晶格常数,沿载流子输运方向引入压应变,提高载流子的迁移率。此外,GeSn合金也可以减小器件的源漏接触电阻。激光快速退火工艺中激光单脉冲时间1ns~1us,脉冲个数为1~100,能量密度为100mJ/cm2~1J/cm2。调节上述激光脉冲参数,可以控制合金层的厚度以及Ge1- xSnx合金中Sn的含量(原子数目比)。优选地,0<x<0.3。
此后,参照图5,可以继续采用现有的前栅或者后栅工艺,完成MOSFET制造。例如在源漏区1S/1D上再次外延同质或者异质但是均为高迁移率材料的提升源漏区5,在GeSn源漏区1S/1D/5上形成金属硅化物或者金属锗化物的源漏金属化接触层6,以进一步减小源漏接触电阻。在整个器件上沉积低k材料的层间介质层(ILD)7。刻蚀ILD7形成源漏接触孔,直至暴露源漏金属化接触层6,在接触孔中沉积W、Cu、Al、Mo等金属以及TiN、TaN等金属氮化物而形成源漏接触塞8。值得注意的是,虽然图5所示结构中栅极堆叠结构为前栅工艺中平行层叠的栅极绝缘层2A与栅极导电层2B,但是也可以适用于后栅工艺,也即栅极绝缘层2A在栅极沟槽中包围栅极导电层2B的底面以及侧面(图5中未示出)。
由此,依照本发明第一实施例的半导体器件包括衬底、衬底上的栅极堆叠结构、栅极堆叠结构两侧衬底中的源漏区、衬底中源漏区之间的沟道区,其特征在于源漏区中的源区包括GeSn合金,并且源区的GeSn合金与沟道区之间可选地还包括隧穿介质层。此外,沟道区包括Si或者SiGe。
依照本发明的半导体器件及其制造方法,通过注入前驱物然后激光快速退火,形成了具有窄带隙的GeSn合金,有效提高了TFET的开态电流,在高性能低功耗应用中具有重要应用前景。
尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对形成器件结构的方法做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。

Claims (8)

1.一种半导体器件制造方法,包括:
在衬底上形成栅极堆叠结构;
在栅极堆叠结构一侧的衬底中形成漏区;
在栅极堆叠结构另一侧的衬底中注入包含Ge的第一前驱物,注入包含Sn的第二前驱物,形成前驱物掺杂区;
在前驱物掺杂区上形成低温保护层,沉积温度低于400℃以避免Ge与Sn提前反应;
激光快速退火,使得第一和第二前驱物反应形成GeSn合金,构成源区。
2.如权利要求1的半导体器件制造方法,其中,通过控制Sn含量来调节GeSn合金的禁带宽度。
3.如权利要求1的半导体器件制造方法,其中,GeSn合金中Sn含量大于0并且小于30%。
4.如权利要求1的半导体器件制造方法,其中,注入第一或第二前驱物的步骤之前和/或之后进一步包括:
注入杂质离子以调整源漏区导电类型和浓度。
5.如权利要求4的半导体器件制造方法,其中,注入的杂质离子包括B、Ga、In及其组合。
6.如权利要求1的半导体器件制造方法,其中,Sn的注入剂量为1×1015~1×1017cm-2
7.如权利要求1的半导体器件制造方法,其中,激光快速退火工艺中激光单脉冲时间1ns~1us,脉冲个数为1~100,能量密度为100mJ/cm2~1J/cm2
8.如权利要求1的半导体器件制造方法,其中,在源区与沟道之间还形成隧穿介质层,其形成步骤具体包括:形成漏区之后且形成GeSn源区之前,刻蚀栅极堆叠结构另一侧的衬底形成源极沟槽,在源极沟槽中沉积隧穿介质薄膜。
CN201210293525.XA 2012-08-16 2012-08-16 半导体器件及其制造方法 Active CN103594496B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201210293525.XA CN103594496B (zh) 2012-08-16 2012-08-16 半导体器件及其制造方法
US13/812,500 US8912070B2 (en) 2012-08-16 2012-10-12 Method for manufacturing semiconductor device
PCT/CN2012/001377 WO2014026306A1 (zh) 2012-08-16 2012-10-12 半导体器件及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210293525.XA CN103594496B (zh) 2012-08-16 2012-08-16 半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN103594496A CN103594496A (zh) 2014-02-19
CN103594496B true CN103594496B (zh) 2018-09-14

Family

ID=50084568

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210293525.XA Active CN103594496B (zh) 2012-08-16 2012-08-16 半导体器件及其制造方法

Country Status (2)

Country Link
CN (1) CN103594496B (zh)
WO (1) WO2014026306A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103824880B (zh) * 2014-02-20 2015-04-22 重庆大学 双轴张应变GeSn n沟道隧穿场效应晶体管
CN103811557A (zh) * 2014-03-06 2014-05-21 重庆大学 无掺杂GeSn量子阱的金属氧化物半导体场效应晶体管
WO2015147838A1 (en) * 2014-03-27 2015-10-01 Intel Corporation P-tunneling field effect transistor device with pocket
CN105336772B (zh) 2014-05-26 2021-11-30 中芯国际集成电路制造(上海)有限公司 鳍式tfet及其制造方法
CN104576721B (zh) * 2014-12-23 2018-01-12 电子科技大学 一种具有电场集中效果增强开态电流的隧穿场效应晶体管
CN108417537B (zh) * 2017-02-10 2021-09-07 中芯国际集成电路制造(上海)有限公司 Sram存储器及其形成方法
CN107658336B (zh) * 2017-08-11 2021-04-30 西安科锐盛创新科技有限公司 N型隧穿场效应晶体管
US10319855B2 (en) * 2017-09-25 2019-06-11 International Business Machines Corporation Reducing series resistance between source and/or drain regions and a channel region
US10861804B2 (en) * 2018-03-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Devices and methods for enhancing insertion loss performance of an antenna switch
CN109473468A (zh) * 2018-10-26 2019-03-15 中国科学院微电子研究所 半导体器件与其制作方法
CN111211122B (zh) * 2018-11-21 2024-05-21 长鑫存储技术有限公司 半导体器件的制作方法与半导体器件
CN114438454A (zh) * 2022-01-26 2022-05-06 西安科技大学 一种类锗锡三元合金及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1117184A (ja) * 1997-04-28 1999-01-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
CN102214690A (zh) * 2010-04-09 2011-10-12 中国科学院微电子研究所 半导体器件及其制作方法
CN102544099A (zh) * 2010-12-31 2012-07-04 中国科学院微电子研究所 隧穿场效应晶体管及其制造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048750B2 (en) * 2008-03-10 2011-11-01 Texas Instruments Incorporated Method to enhance channel stress in CMOS processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1117184A (ja) * 1997-04-28 1999-01-22 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
CN102214690A (zh) * 2010-04-09 2011-10-12 中国科学院微电子研究所 半导体器件及其制作方法
CN102544099A (zh) * 2010-12-31 2012-07-04 中国科学院微电子研究所 隧穿场效应晶体管及其制造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
《High Quality Ge Virtual Substrates on Si Wafers with Standard STI Patterning》;R.LOO et al;《J.Electrochem. Soc.》;20091102;第157卷(第1期);第H13-H21页 *
《Silicon-Germanium-Tin (SiGeSn) Source and Drain Stressors formed by Sn Implant and Laser Annealing for Strained Silicon-Germanium Channel P-MOSFETs》;Grace Huiqi Wang et al;《Electron D evices Meeting,2007》;20071231;第131-134页 *

Also Published As

Publication number Publication date
CN103594496A (zh) 2014-02-19
WO2014026306A1 (zh) 2014-02-20

Similar Documents

Publication Publication Date Title
CN103594496B (zh) 半导体器件及其制造方法
US8912070B2 (en) Method for manufacturing semiconductor device
US8889519B2 (en) Semiconductor device and method for manufacturing the same
US8796744B1 (en) Semiconductor device
US8828828B2 (en) MOSFET including asymmetric source and drain regions
US7872303B2 (en) FinFET with longitudinal stress in a channel
TWI534864B (zh) 具凹入合併鰭及襯底的絕緣層上半導體鰭式場效電晶體用以加強應力偶合
US7154118B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
CN100452400C (zh) 沟槽应变抬升源/漏结构及其制造方法
TWI234283B (en) Novel field effect transistor and method of fabrication
CN102906880B (zh) 半导体结构及其制造方法
JP5745076B2 (ja) SiGeチャネルを有するpFET接合プロフィールのための方法および構造体
US20130187234A1 (en) Structure and method for stress latching in non-planar semiconductor devices
US20090174002A1 (en) Mosfet having a high stress in the channel region
US20210273115A1 (en) Vertical field effect transistor with low-resistance bottom source-drain contact
EP1488462A2 (en) Strained fin fets structure and method
US20100013015A1 (en) Metal source/drain schottky barrier silicon-on-nothing mosfet device
CN102339859B (zh) Mos晶体管及其形成方法
KR20110038594A (ko) 스트레스 라이너 상의 실리콘(sol)을 갖는 반도체 장치
CN103594419A (zh) 半导体器件制造方法
CN108321197A (zh) 一种遂穿场效应晶体管及其制造方法
US11476163B2 (en) Confined gate recessing for vertical transport field effect transistors
US7518191B1 (en) Silicon on insulator devices having body-tied-to-source and methods of making
CN104576381B (zh) 一种非对称超薄soimos晶体管结构及其制造方法
CN104576378B (zh) 一种mosfet结构及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant