CN103579124A - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN103579124A
CN103579124A CN201310048064.4A CN201310048064A CN103579124A CN 103579124 A CN103579124 A CN 103579124A CN 201310048064 A CN201310048064 A CN 201310048064A CN 103579124 A CN103579124 A CN 103579124A
Authority
CN
China
Prior art keywords
pattern
etching
sacrificial
protection
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310048064.4A
Other languages
English (en)
Inventor
朴昌基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN103579124A publication Critical patent/CN103579124A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

本发明公开了一种用于制造具有不同宽度的图案的半导体器件的方法。所述方法包括:使用具有较大宽度且在间隔件层的刻蚀工艺期间保留的保护图案来刻蚀牺牲图案。因为使用具有较大宽度且保留在较大宽度的保护图案之下的牺牲图案作为焊盘掩模图案,所以可以不需要形成焊盘掩模图案的单独工艺。因此,可以简化半导体器件的制造方法。

Description

半导体器件的制造方法
相关申请的交叉引用
本申请要求在2012年8月8日向韩国知识产权局提交的韩国专利申请No.10-2012-0086896的优先权,其全部内容通过引用并入本文。
技术领域
本发明总体而言涉及一种制造半导体器件的方法,更具体而言涉及一种制造具有不同宽度的图案的半导体器件的方法。
背景技术
半导体器件可以包括不同尺寸的图案。例如,NAND快闪存储器件包括栅极线,栅极线包括源极选择线、漏极选择线和位于源极选择线与漏极选择线之间的多个字线。通常,字线具有比源极选择线或漏极选择线小的宽度。源极选择线与源极选择晶体管的栅极耦接,漏极选择线与漏极选择晶体管的栅极耦接,以及字线可以与存储器单元的栅极耦接。
随着存储器单元尺寸减小以获得更高的集成度,已经提出了通过克服曝光设备的分辨率限值来形成精细字线的各种方法。例如,已经提出了用于形成精细字线的间隔件图案化技术(Spacer Patterning Technology,SPT)工艺来克服曝光设备的分辨率限值。
发明内容
因此,需要一种针对具有不同宽度的图案的半导体器件的简单的制造工艺。当采用间隔件图案化技术时,间隔件的宽度限定字线的宽度。经由在刻蚀目标层上形成牺牲层图案、在牺牲层图案的表面之上形成间隔件层,刻蚀间隔件层以暴露出牺牲层使间隔件层沿着牺牲图案的侧壁保留下来、以及去除暴露的牺牲层的一系列步骤,来形成间隔件。在这种情况下,可以由间隔件层的沉积厚度控制间隔件的宽度。因此,为了形成宽度比字线大的漏极选择线或源极选择线,还会在刻蚀目标层上形成宽度比间隔件大的单独的焊盘掩模图案。然而,用于形成焊盘掩模图案的工艺的加入会增加制造成本。各种实施例涉及能够简化制造工艺的半导体器件的制造方法。
根据本发明的一个实施例,一种半导体器件的制造方法包括以下步骤:在刻蚀目标层之上形成牺牲层;在牺牲层上形成具有第一宽度的第一保护图案和具有比第一宽度大的第二宽度的第二保护图案;通过使用第一保护图案和第二保护图案作为刻蚀阻挡层刻蚀牺牲层,而在第一保护图案之下形成第一牺牲图案和在第二保护图案之下形成第二牺牲图案;在形成第一牺牲图案和第二牺牲图案之后在所得结构的整个表面之上形成间隔件层;刻蚀间隔件层以形成沿着第一牺牲图案和第二牺牲图案的侧壁的间隔件;通过使用在间隔件层的刻蚀期间保留的第二保护图案作为刻蚀阻挡层,来去除在间隔件层的刻蚀期间暴露出的第一牺牲图案;以及通过使用第二牺牲图案和间隔件作为刻蚀阻挡层刻蚀所述刻蚀目标层来形成目标图案。
附图说明
从以下结合附图的详细说明中将更清楚地理解本发明的以上和其它方面、特征和优点,在附图中:
图1A和图1B是根据本发明的一个实施例的半导体器件的平面图;
图2A至图2G是帮助描述根据本发明的一个实施例的半导体器件的制造方法的截面图;
图3是示出根据本发明的一个实施例的存储系统的配置的框图;以及
图4是示出根据本发明的一个实施例的计算系统的配置的框图。
具体实施方式
在下文中,将参照附图详细描述本发明的各种实施例。然而,本发明可以采用不同的形式实现,并且不应解释为限于本文所提供的实施例。尽管参照本发明的多种实例来描述根据本发明的实施例,但应该理解,本领域技术人员可以想到将落入本发明的精神和范围内的多种变化和修改。在整个说明书和附图中,相同的附图标记表示相似的部件。
图1A示出形成NAND快闪存储器件的栅极线的单元区。图1B示出形成与NAND快闪存储器件的栅极线耦接的焊盘部的外围区。
现在参照图1A,NAND快闪存储器件的栅极线可以包括选择线L1和L2以及字线L3。这些选择线可以包括源极选择线L1和漏极选择线L2。源极选择线L1可以与用于选择单元串(cell string)的源极选择晶体管的栅极耦接,以及漏极选择线L2可以与用于选择单元串的漏极选择晶体管的栅极耦接。字线L3可以布置在源极选择线L1与漏极选择线L2之间。字线L3可以与用于储存数据的存储器单元的栅极耦接。
为了实现更高的集成度,字线L3的宽度可以比源极选择线L1的宽度和漏极选择线L2的宽度小。字线的宽度L3可以比曝光设备的分辨率限值小。根据本发明的一个实施例,在源极选择线L1与字线L3之间的间隙可以与漏极选择线L2与字线L3之间的间隙大体相同。
在图1B中,示出NAND快闪存储器件的栅极线(例如,字线L3)延伸至外围区中的焊盘部P。焊盘部P可以耦接到被配置成经由接触结构(未示出)传送外部信号的线(未示出)。为了确保焊盘部P与接触结构之间的对准,焊盘部P的宽度和间距可以大于栅极线(L3)的宽度。
图2A至2G示出了在与图1A的栅极线交叉的方向上截取的截面和焊盘部的截面。
参照图2A,可以在包括第一至第三区域R1至R3的衬底101之上形成刻蚀目标层ET和牺牲层117。在形成牺牲层117之前,还可以在刻蚀目标层ET上形成掩模层叠结构HM。
要在后续工艺中形成的目标图案之中的相对较小的图案可以形成在第一区域R1,而这些目标图案之中的相对较大的图案可以形成在第二区域R2和第三区域R3。例如,可以在第一区域R1中形成字线,可以在第二区域R2中形成漏极选择线或源极选择线,并且可以在第三区域R3中形成焊盘部。
刻蚀目标层ET可以包括形成目标图案的材料层。为了形成作为目标图案的NAND快闪存储器件的字线、源极选择线和漏极选择线,可以通过以一个位于另一个的顶部上的方式层叠配置作为浮栅的第一导电层105、电介质层107和配置作为控制栅的第二导电层109,来形成刻蚀目标层ET。要形成源极选择线和漏极选择线之处的电介质层107可以具有暴露出第一导电层105的接触孔CT。第一导电层105和第二导电层109可以经由接触孔CT而彼此电连接。此外,在形成第一导电层105之前,还可以在衬底101上形成栅绝缘层103。
根据相对于刻蚀目标层ET、要在后续工艺中形成的间隔件层和牺牲层117的刻蚀选择性,掩模层叠结构HM可以包括至少一个材料层。例如,可以通过以一个位于另一个顶部上的方式层叠第一至第三材料层111、113和115,来形成掩模层叠结构HM。第一材料层111可以由相对于刻蚀目标层ET的第二导电层109具有刻蚀选择性的材料形成。例如,第一材料层111可以包括氧化物层。第二材料层113可以由相对于间隔件层或第一材料层111具有刻蚀选择性的材料形成。例如,第二材料层113可以包括多晶硅。第三材料层115可以由相对于间隔件层具有刻蚀选择性的材料形成。例如,第三材料层113可以由SiON制成。如果要通过后续工艺形成的间隔件和牺牲图案能够在刻蚀目标层ET的图案化期间充分地发挥刻蚀阻挡层的作用,可以不形成掩模层叠结构HM。
多种材料层可以用于形成牺牲层117。例如,牺牲层117可以包括含碳的有机材料。有机材料的实例可以包括旋涂涂覆(Spin On Coating,SOC)层和旋涂玻璃(Spin OnGlass,SOG)层或者非晶碳层。
可以在牺牲层117上形成保护层119。可以将保护层119形成为保护要在后续工艺期间形成的牺牲图案。保护层119可以包括未掺杂的硅酸盐玻璃(USG)氧化物层或者无机抗反射层(anti-reflective layer)。当保护层119包括无机抗反射层时,可以在用于形成第一至第三光致抗蚀剂图案121a、121b和121c的光刻工艺期间防止光源的漫反射,由此确保第一至第三光致抗蚀剂图案121a、121b和121c的轮廓。无机抗反射层可以由SiON制成。
通过使用光刻工艺使用单个曝光掩模可以在保护层119上形成第一至第三光致抗蚀剂图案121a、121b和121c。可以在第一区域R1中布置第一光致抗蚀剂图案121a,可以在第二区域R2中形成第二光致抗蚀剂图案121b,以及可以在第三区域R3中形成第三光致抗蚀剂图案121c。第二和第三光致抗蚀剂图案121b和121c每个可以具有比第一光致抗蚀剂图案121a大的宽度。第三光致抗蚀剂图案121c可以具有与第二光致抗蚀剂图案121b相同的宽度或者比第二光致抗蚀剂图案121b大的宽度。根据曝光掩模的曝光区域和未曝光区域,第一至第三光致抗蚀剂图案121a、121b和121c可以没有任何对准误差地布置。
参照图2B,通过使用图2A的第一至第三光致抗蚀剂图案121a、121b和121c作为刻蚀阻挡层,可以使用刻蚀工艺去除没有被第一至第三光致抗蚀剂图案121a、121b和121c覆盖的保护层119的区域。结果,第一保护图案119a可以具有由第一光致抗蚀剂图案121a限定的第一宽度W1,第二保护图案119b可以具有由第二光致抗蚀剂图案121b限定的第二宽度W2,第三保护图案119c可以具有由第三光致抗蚀剂图案121c限定的第三宽度W3。
第二宽度W2和第三宽度W3每个可以比第一宽度W1大。可以根据用于形成第一至第三光致抗蚀剂图案121a、121b和121c的曝光掩模的暴露区域和未暴露区域,来改变位于相邻的第一保护图案119a之间的第一间隙l1和位于相邻的第一和第二保护图案119a和119b之间的第二间隙l2。例如,第一间隙l1的宽度可以是第一宽度W1的三倍或三倍以上,第二间隙l2可以是第一宽度W1的二倍或二倍以下。由于第一、第二和第三保护图案119a、119b和119c的布置由没有对准误差的第一至第三光致抗蚀剂图案121a、121b和121c的布置来确定,因此第一、第二和第三保护图案119a、119b和119c可以无对准误差地布置。
随后,没有被第一、第二和第三保护图案119a、119b和119c覆盖的牺牲层117的区域可以通过使用保留下来的第一至第三光致抗蚀剂图案121a、121b和121c和保留下来的第一、第二和第三保护图案119a、119b和119c作为刻蚀阻挡层的刻蚀工艺来去除。因此,可以在第一保护图案119a之下形成第一牺牲图案117a,可以在第二保护图案119b之下形成第二牺牲图案117b,以及可以在第三保护图案119c之下形成第三牺牲图案117c。由于第一至第三牺牲图案117a、117b和117c的布置是由无对准误差的第一、第二和第三保护图案119a、119b和119c确定的,因此第一、第二和第三牺牲图案117a、117b和117c可以无任何对准误差地布置。在刻蚀保护层119或牺牲层117时,可以去除第一、第二和第三光致抗蚀剂图案121a、121b和121c。
因为在牺牲层117的刻蚀工艺期间第一、第二和第三保护图案119a、119b和119c的一部分被刻蚀,所以第一、第二和第三保护图案119a、119b和119c的厚度会减小。这里,由于第二和第三保护图案119b和119c每个的宽度大于第一保护图案119a的宽度,并且第一、第二和第三保护图案119a、119b和119c具有不同的表面积,因此会发生负载效应(loading effect)。换言之,在牺牲层117的刻蚀工艺期间,具有较大表面积的第二和第三保护图案119b和119c每个会比具有较小表面积的第一保护图案119a承受更少的厚度损失。
当在执行牺牲层117的刻蚀工艺时,第一保护图案119a与第二和第三保护图案119b和119c之间的厚度损失差异可以通过控制刻蚀气体、RF功率或压力条件来优化。可以执行用于形成第一至第三牺牲图案117a、117b和117c的牺牲层117刻蚀工艺,使得可以减小第二和第三保护图案119b和119c的厚度而不完全去除。另外,当在牺牲层117的刻蚀工艺之后第一保护图案119a保留下来时,由于负载效应第一保护图案119a的剩余厚度D1可以比第二保护图案119b的剩余厚度D2和第三保护图案119c的剩余厚度D3小。
可以使用干法刻蚀或者湿法刻蚀执行牺牲层117的刻蚀工艺。当使用干法刻蚀来刻蚀牺牲层117时,可以执行各向同性刻蚀工艺以使负载效应最大化。当使用各向同性刻蚀工艺刻蚀牺牲层117以使负载效应最大化时,可以使用含氟和碳的气体之中的具有相对较小碳含量的CF4气体,并且可以减小刻蚀设备的偏压功率。当使用USG氧化物层作为保护层119时,可以通过使用湿法刻蚀引起负载效应。
参照图2C、可以通过去除剩余的第一保护图案119a,来暴露出第一牺牲图案117a。当去除第一保护图案119a时,可以刻蚀第二和第三保护图案119b和119c的部分。然而,由于第二和第三保护图案119b和119c每个的厚度大于第一保护图案119a的厚度,因此可以减小第二和第三保护图案119b和119c的厚度而不完全去除。
随后,可以在包括第一、第二和第三牺牲图案117a、117b和117c的所得结构的整个表面之上形成间隔件层131。间隔件层131可以包括相对于牺牲层117具有刻蚀选择性的材料层。例如,间隔件层131可以包括氧化物层。
间隔件层131的沉积厚度可以确定要形成为具有小宽度的目标图案的线宽度。因为间隔件层131的沉积厚度可以小于曝光设备的分辨率限值,所以要形成的目标图案的窄的线宽度可以小于曝光设备的分辨率限值。
根据要形成的目标图案的窄的线宽度,可以通过各种方法控制间隔件层131的沉积厚度。可以将间隔件层131的沉积厚度控制为不填满相邻的第一牺牲图案117a之间的空间。此外,可以控制间隔件层131的沉积厚度,使得相邻的第一牺牲图案117a之间的中央空间部分可以被暴露出与第一宽度W1大体相同的宽度。另外,可以将间隔件层131的沉积厚度控制到与第一宽度W1相同的值。当在以上参照图2B描述的工艺期间,第二间隙l2被确定是第一宽度W1的二倍或二倍以下,并且间隔件层131的沉积厚度与第一宽度W1大体相同时,第一和第二牺牲图案117a和117b之间的间距可以被间隔件层131填充。
参照图2D,可以使用毯式刻蚀工艺(blanket etch process)来刻蚀如图2C所示的间隔件层131,使得间隔件层131可以保留下来,作为沿着第一至第三牺牲图案117a、117b和117c的侧壁的间隔件131a、131b、131c和131d。虽然当在刻蚀间隔件层131时,可以刻蚀第二和第三保护图案119b和119c的部分,但是可以减小第二和第三保护图案119b和119c的厚度而不完全去除。
当在以上参照图2C描述的工艺期间在第一和第二牺牲图案117a和117b之间的空间填充间隔件层131时,在间隔件层131的刻蚀工艺之后保留在第一和第二牺牲图案117a和117b之间的间隔件131b可以填充第一和第二牺牲图案117a和117b之间的空间。在下文,出于说明的目的,沿着第一牺牲图案117a的侧壁保留的不与第二牺牲图案117b相邻的间隔件被称为第一间隔件131a,填充第一和第二牺牲图案117a和117b之间的空间的间隔件被称为第二间隔件131b,沿着第二牺牲图案117b的侧壁保留的不与第一牺牲图案117a相邻的间隔件被称为第三间隔件131c,以及沿着第三牺牲图案117c的侧壁保留的间隔件被称为第四间隔件131d。
参见图2E,可以去除第一牺牲图案117a。当去除第一牺牲图案117a时,第二和第三牺牲图案117b和117c可以受剩余的第二和第三保护图案119b和119c保护并且可以不被去除。因此,当去除第一牺牲图案117a时,可以不形成单独的图案来保护第二和第三牺牲图案117b和117c。
由于去除了第一牺牲图案117a,在第一区域R1中不被第一间隔件131a覆盖的第三材料层115的区域可以开放。此外,在第二区域R2中不被第二牺牲图案117b以及第二和第三间隔件131b和131c覆盖的第三材料层115的区域可以开放。另外,在第三区域R3中不被第三牺牲图案117c和第四间隔件131d覆盖的第三材料层115的区域可以开放。
在第一区域R1中可以在被第一间隔件131a覆盖的区域上形成具有窄的宽度的目标图案。在第二区域R2中可以在被第二牺牲图案117b以及第二和第三间隔件131b和131c覆盖的区域中形成具有较大宽度的目标图案,或者在第三区域R3中可以在被第三牺牲图案117c和第四间隔件131d覆盖的区域中形成具有较大宽度的目标图案。因此,具有较小宽度的目标图案可以由第一间隔件131a的宽度来限定,具有较大宽度的目标图案可以由第二牺牲图案117b的宽度、第二间隔件131b的宽度和第三间隔件131c的宽度之和来限定,或者由第三牺牲图案117c和两个第四间隔件131d的宽度之和来限定。
如上描述,根据本发明的实施例,与第一牺牲图案117a同时形成的第二牺牲图案117b和第三牺牲图案117c、以及与第一间隔件131a同时形成的第二、第三和第四间隔件131b、131c和131d可以限定要形成具有较大宽度的目标图案的区域。因此,因为可以不提供单独的用于限定要形成较大宽度的目标图案的区域的焊盘掩模,所以可以简化半导体器件的图案形成工艺。
根据本发明的一个实施例,因为由一次光刻工艺和间隔件层131的沉积厚度来确定在较大宽度的目标图案与较小宽度的目标图案之间的间隙,因此所述间隙可以设定为特定的设计值。当在以上参照图2B描述的工艺中在第一和第二牺牲图案117a和117b之间的间隙填充第二间隔件131b时,在较大宽度的目标图案与较小宽度的目标图案之间的间隙可以与第一牺牲图案117a的第一宽度W1大体相同。
在去除第一牺牲图案117a之后,可以保留或去除第二和第三保护图案119b和119c。当在刻蚀目标层ET上形成掩模层叠结构HM时,可以使用第二牺牲图案117b和第一至第四间隔件131a、131b、131c和131d作为刻蚀阻挡层来刻蚀掩模层叠结构HM。例如,可以通过刻蚀掩模层叠结构HM的第三材料层115形成第三材料层图案115a和115b。形成在第一区域R1中的第三材料层图案115a的宽度可以比形成在第二区域R2和第三区域R3中的第三材料层图案115b和115c的每个的宽度小。
参照图2F,通过进一步刻蚀掩模层叠结构HM的第一和第二材料层111和113可以形成最终的掩模图案111a、111b和111c。在用于形成掩模图案111a、111b和111c的掩模层叠结构HM的刻蚀工艺期间,可以执行单独的刻蚀工艺,以去除第二牺牲图案117b,第一、第二、第三和第四间隔件131a、131b、131c和131d,第二材料层113和第三材料层图案115a、115b和115c。此外,第二牺牲图案117b,第一至第四间隔件131a、131b、131c和131d,第二材料层113以及第三材料层图案115a、115b和115c可以在用于形成掩模图案111a、111b和111c的掩模层叠结构HM的刻蚀工艺期间保留下来。形成在第一区域R1中的掩模图案111a的宽度可以小于形成在第二区域R2和第三区域R3中的掩模图案111b和111c的每个的宽度。
参照图2G,可以使用掩模图案111a、111b和111c作为刻蚀阻挡层来刻蚀未被掩模图案111a、111b和111c覆盖的刻蚀目标层ET的区域。结果,可以在第一区域R1和第二和第三区域R2和R3中形成具有不同宽度的目标图案(L1、L2、L3和P)。形成在第一区域R1中的目标图案可以是字线L3。形成在第二区域R2中的目标图案可以是源极选择线L1或者漏极选择线L2。形成在第三区域R3中的目标图案可以是焊盘部P。
字线L3可以具有比源极选择线L1、漏极选择线L2和焊盘部P小的宽度。字线L3、源极选择线L1、漏极选择线L2和焊盘部P可以无任何对准误差地布置,因为它们的布置是由利用一次光刻工艺形成的第一和第二光致抗蚀剂图案以及间隔件层131的沉积厚度确定的。另外,根据本发明的一个实施例,字线L3与源极选择线L1之间的间隙或者字线L3与漏极选择线L2之间的间隙可以与相邻字线L3之间的间隙大体相同。
以上已经描述了利用将掩模层叠结构HM图案化而形成的掩模图案111a、111b和111c来形成目标图案L1、L2、L3和P的工艺。然而,当在刻蚀目标层ET的刻蚀工艺期间第二和第三牺牲图案117b和117c以及第一至第四间隔件131a至131d能够充分发挥刻蚀阻挡层的作用时,可以使用第二和第三牺牲图案117b和117c以及第一至第四间隔件131a至131d作为刻蚀阻挡层来刻蚀所述刻蚀目标层ET,以形成目标图案L1、L2、L3和P。
以上详细描述了用于形成NAND快闪存储器件的字线、漏极选择线、源极选择线以及焊盘部的工艺。然而,本发明不限于此,并且可应用于形成现有半导体器件中的各种宽度的图案的工艺。
如上描述,根据本发明的一个实施例,因为不需要单独的焊盘掩模来限定要形成较大宽度的目标图案的区域,所以简化了半导体器件的制造方法,并且可以降低制造成本。另外,根据本发明的一个实施例,因为无需单独的焊盘掩模,可以完全防止因为焊盘掩模的未对准而导致的在较宽目标图案和较窄目标图案之间的间隙的变化。
如图3所示,根据本发明的一个实施例的存储系统1100可以包括非易失性存储器件1120和存储器控制器1110。
可以由前述实施例中上文参照图1A至图2G描述的工艺来制造非易失性存储器件1120。另外,非易失性存储器件1120可以是包括多个快闪存储器芯片的多芯片封装。
存储器控制器1110可以被配置成控制非易失性存储器件1120。存储器控制器1110可以包括SRAM1111、CPU1112、主机接口1113、ECC1114和存储器接口1115。SRAM1111可以发挥CPU1112的操作存储器的作用。CPU1112可以针对存储器控制器1110的数据交换执行一般的控制操作。主机接口1113可以包括与存储系统1100耦接的主机的数据交换协议。另外,ECC1114可以检测并纠正从非易失性存储器件1120读取的数据中所包括的错误。存储器接口1115可以与非易失性存储器件1120接口。存储器控制器1110还可以包括储存与主机接口的码数据的ROM。
具有上述配置的存储系统1100可以是结合了存储器件1120和存储器控制器1110的固态盘(SSD)或者存储卡。例如,当存储系统1100是SSD时,存储器控制器1110可以经由包括USB、MMC、PCI-E、SATA、PATA、SCSI、ESDI和IDE的接口协议中的一种与外部(例如主机)通信。
如图4所示,根据本发明的一个实施例的计算系统1200可以包括与系统总线1260电耦接的CPU1220、RAM1230、用户接口1240、调制解调器1250和存储系统1210。另外,当计算系统1200是移动设备时,还可以包括电池来给计算系统1200施加操作电压。计算系统1200还可以包括应用芯片组、照相机图像处理器(CIS)和移动DRAM。
如以上结合图3描述的,存储系统1210可以包括非易失性存储器1212和存储器控制器1211。
根据实施例,使用具有较大宽度且在间隔件层的刻蚀工艺期间保留下来的保护图案来刻蚀牺牲图案。因为具有较大宽度且保留在具有较大宽度的保护图案之下的牺牲图案被用作焊盘掩模图案,所以可以不需要形成焊盘掩模图案的单独工艺。因此,可以简化半导体器件的制造方法。

Claims (9)

1.一种制造半导体器件的方法,所述方法包括以下步骤:
在刻蚀目标层之上形成牺牲层;
在所述牺牲层上形成具有第一宽度的第一保护图案和具有比第一宽度大的第二宽度的第二保护图案;
通过使用所述第一保护图案和所述第二保护图案作为刻蚀阻挡层刻蚀所述牺牲层,而在所述第一保护图案之下形成第一牺牲图案和在所述第二保护图案之下形成第二牺牲图案;
在形成所述第一牺牲图案和所述第二牺牲图案之后,在所得结构的整个表面之上形成间隔件层;
刻蚀所述间隔件层,以沿着所述第一牺牲图案和所述第二牺牲图案的侧壁形成间隔件;
通过使用在所述间隔件层的刻蚀期间保留的第二保护图案作为刻蚀阻挡层,来去除在所述间隔件层的刻蚀期间暴露出的所述第一牺牲图案;以及
通过使用所述第二牺牲图案和所述间隔件作为刻蚀阻挡层刻蚀所述刻蚀目标层,来形成目标图案。
2.如权利要求1所述的方法,其中,形成所述第一保护图案和所述第二保护图案的步骤包括以下步骤:
在所述牺牲层之上形成保护层;
通过使用曝光掩模执行光刻工艺,而在所述保护层上形成第一光致抗蚀剂图案和具有比所述第一光致抗蚀剂图案大的宽度的第二光致抗蚀剂图案;以及
通过使用所述第一光致抗蚀剂图案和所述第二光致抗蚀剂图案作为掩模来刻蚀所述保护层。
3.如权利要求1所述的方法,其中,当刻蚀所述牺牲层时,刻蚀所述第一保护图案和所述第二保护图案,以及所述第二保护图案被刻蚀得比所述第一保护图案少并且具有比所述第一保护图案大的厚度。
4.如权利要求1所述的方法,还包括:在刻蚀所述间隔件层之前去除所述第一保护图案。
5.如权利要求1所述的方法,还包括以下步骤:
在形成所述牺牲层之前,在所述刻蚀目标层上形成掩模层叠结构;以及
在刻蚀所述刻蚀目标层之前,通过使用所述第二牺牲图案和所述间隔件作为刻蚀阻挡层来刻蚀所述掩模层叠结构而形成掩模图案。
6.如权利要求1所述的方法,其中,使用各向同性刻蚀工艺执行对所述牺牲层的刻蚀。
7.如权利要求6所述的方法,其中,所述各向同性刻蚀工艺包括湿法刻蚀工艺。
8.如权利要求6所述的方法,其中,所述各向同性刻蚀工艺包括干法刻蚀工艺。
9.如权利要求8所述的方法,其中,使用CF4气体执行所述干法刻蚀工艺。
CN201310048064.4A 2012-08-08 2013-02-06 半导体器件的制造方法 Pending CN103579124A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2012-0086896 2012-08-08
KR1020120086896A KR20140020151A (ko) 2012-08-08 2012-08-08 반도체 소자의 패턴 형성방법

Publications (1)

Publication Number Publication Date
CN103579124A true CN103579124A (zh) 2014-02-12

Family

ID=50050599

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310048064.4A Pending CN103579124A (zh) 2012-08-08 2013-02-06 半导体器件的制造方法

Country Status (3)

Country Link
US (1) US20140045336A1 (zh)
KR (1) KR20140020151A (zh)
CN (1) CN103579124A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109300790A (zh) * 2017-07-24 2019-02-01 格芯公司 具有牺牲多晶硅层的接触蚀刻停止层
CN109524400A (zh) * 2017-09-18 2019-03-26 三星电子株式会社 包括电容器结构的半导体器件及制造其的方法
CN111223785A (zh) * 2018-11-23 2020-06-02 爱思开海力士有限公司 半导体封装件及其制造方法
CN111293039A (zh) * 2020-04-01 2020-06-16 上海华虹宏力半导体制造有限公司 自对准双重图形化半导体器件的形成方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102054819B1 (ko) * 2013-05-22 2019-12-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102311186B1 (ko) 2015-11-19 2021-10-08 삼성전자주식회사 반도체 소자의 패턴 형성 방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109300790A (zh) * 2017-07-24 2019-02-01 格芯公司 具有牺牲多晶硅层的接触蚀刻停止层
CN109300790B (zh) * 2017-07-24 2021-11-02 格芯(美国)集成电路科技有限公司 具有牺牲多晶硅层的接触蚀刻停止层
CN109524400A (zh) * 2017-09-18 2019-03-26 三星电子株式会社 包括电容器结构的半导体器件及制造其的方法
CN111223785A (zh) * 2018-11-23 2020-06-02 爱思开海力士有限公司 半导体封装件及其制造方法
CN111223785B (zh) * 2018-11-23 2023-08-01 爱思开海力士有限公司 半导体封装件及其制造方法
CN111293039A (zh) * 2020-04-01 2020-06-16 上海华虹宏力半导体制造有限公司 自对准双重图形化半导体器件的形成方法

Also Published As

Publication number Publication date
US20140045336A1 (en) 2014-02-13
KR20140020151A (ko) 2014-02-18

Similar Documents

Publication Publication Date Title
US10636806B2 (en) Semiconductor device and manufacturing method thereof
US9985048B2 (en) Semiconductor device and manufacturing method thereof
CN103579124A (zh) 半导体器件的制造方法
US20180247953A1 (en) Semiconductor device and manufacturing method thereof
US9691783B2 (en) Semiconductor device and method of manufacturing the same
KR101149632B1 (ko) 반도체 구조물, 다중 라인 형성 방법, 및 단일 포토마스크로 고밀도 구조 및 저밀도 구조를 형성하는 방법
US9064724B2 (en) Three-dimensional non-volatile memory device with stacked-structure memory blocks
US9105514B2 (en) Three-dimensional non-volatile memory device, memory system including the same, and method of manufacturing the same
US9673218B1 (en) Method of manufacturing semiconductor device including channel layer
US20150064902A1 (en) Methods of Fabricating Semiconductor Devices
KR20190034864A (ko) 반도체 장치 및 이의 제조 방법
US20160005745A1 (en) Semiconductor device and method of manufacturing the same
US9484314B2 (en) Word line hook up with protected air gap
KR20120001339A (ko) 반도체 소자의 미세 패턴 형성 방법
US9570680B2 (en) Method for fabricating electronic devices having semiconductor memory unit
CN103165620A (zh) 三维非易失性存储器件、存储系统及其制造方法
US9224744B1 (en) Wide and narrow patterning using common process
US11322518B2 (en) Memory device and method of manufacturing the same
KR101077304B1 (ko) 반도체 소자의 제조 방법
US9343467B2 (en) Semiconductor device
JP2013051415A (ja) 半導体素子及びその製造方法
US20140030867A1 (en) Methods of fabricating a semiconductor device
US9613972B1 (en) Method of manufacturing semiconductor device
US20150099343A1 (en) Semiconductor memory device
KR102475446B1 (ko) 반도체 메모리 소자 및 그 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140212