CN102956473B - 在升起特征上沉积高度共形无定形碳膜的方法 - Google Patents

在升起特征上沉积高度共形无定形碳膜的方法 Download PDF

Info

Publication number
CN102956473B
CN102956473B CN201210315731.6A CN201210315731A CN102956473B CN 102956473 B CN102956473 B CN 102956473B CN 201210315731 A CN201210315731 A CN 201210315731A CN 102956473 B CN102956473 B CN 102956473B
Authority
CN
China
Prior art keywords
gas
process gas
plasma
carbon film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201210315731.6A
Other languages
English (en)
Other versions
CN102956473A (zh
Inventor
高羽博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102956473A publication Critical patent/CN102956473A/zh
Application granted granted Critical
Publication of CN102956473B publication Critical patent/CN102956473B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及在升起特征上沉积高度共形无定形碳膜的方法。该用于形成半导体器件的方法包括:在处理室中的衬底保持器上设置衬底,其中所述衬底包含具有顶表面及侧表面的升起特征;使处理气体流入所述处理室,其中所述处理气体包含碳氢化合物气体,包含氧的气体,以及可选的氩或氦。该方法还包括在所述处理室中保持处理气体压力为至少1Torr;利用微波等离子体源来利用所述处理气体形成等离子体;并且将所述衬底暴露至等离子体,以在所述升起特征的表面上沉积共形无定形碳膜。

Description

在升起特征上沉积高度共形无定形碳膜的方法
技术领域
本发明涉及用于制造半导体器件的方法,具体涉及用于在升起特征上沉积高度共形无定形碳膜的方法。
背景技术
在半导体制造中需要新的方法用于在高级器件上的升起特征上低温沉积共形无定形碳膜。用于沉积碳膜的现有方法包括等离子体增强的化学汽相沉积(PECVD),其存在于升起特征上出现非共形沉积的问题,其中碳膜优选地沉积在升起特征的顶部以及升起特征之间的场区域中,而在升起特征的侧壁上碳膜的沉积很少。
发明内容
本发明的实施例提供了处理方法,用于在衬底的升起特征上沉积高度共形无定形碳膜。在一个示例中,共形碳膜可被图案化,并被用作硬掩膜以在下层材料层或衬底中蚀刻特征。
根据本发明的一个实施例,该方法包括在处理室中的衬底保持器上设置衬底,其中所述衬底包含具有顶表面及侧表面的升起特征;使处理气体流入所述处理室,其中所述处理气体包含碳氢化合物气体,包含氧的气体,以及可选的氩或氦;在所述处理室中保持处理气体压力为至少1Torr;利用微波等离子体源来利用所述处理气体形成等离子体。该方法还包括将所述衬底暴露至等离子体,以在所述升起特征的表面上沉积共形无定形碳膜,其中,在所述顶表面上的所述共形无定形碳膜的厚度与在所述侧壁表面上的所述共形无定形碳膜的厚度之比(d(顶部)/d(侧壁))小于2。
根据本发明的另一个实施例,该方法包括在处理室中的衬底保持器上设置衬底,其中所述衬底包含具有顶表面及侧表面的升起特征;使处理气体流入所述处理室,其中所述处理气体包含含氧气体以及可选的氩或氦的持续气流,以及碳氢化合物气体的脉冲气流;在所述处理室中保持处理气体压力为至少1Torr;利用包含面对所述衬底的径向线槽天线(RLSA)的微波等离子体源来利用所述处理气体形成等离子体,其中,所述碳氢化合物气体包括未因等离子体激发而破裂的碳-碳三化学键;并且将所述衬底暴露至等离子体,以在所述升起特征的表面上沉积共形无定形碳膜,其中,在所述顶表面上的所述共形无定形碳膜的厚度与在所述侧壁表面上的所述共形无定形碳膜的厚度之比(d(顶部)/d(侧壁))小于2。
附图说明
图1A-1C示意性地示出了根据本发明的实施例,在衬底上的升起特征上沉积共形无定形碳膜,随后形成图案化碳硬掩膜;
图2示出了根据本发明的实施例,在衬底的升起特征上沉积共形无定形碳膜的方法的流程图;
图3A及图3B示出了根据本发明的实施例,用于在衬底的升起特征上沉积共形碳膜的气体流动示意图;
图4示出了作为O2气流的函数的碳膜的共形性;
图5是根据本发明的一个实施例的等离子体处理系统的示意图,其包含径向线槽天线(RLSA)等离子体源,用于在衬底上沉积共形无定形碳膜;
图6示出了图5中的等离子体处理系统的气体供应单元的平面图;而
图7示出了图5中的等离子体处理系统的天线部分的部分剖视图。
具体实施方式
参考附图来描述本发明的实施例,其中示出了本发明的示例性实施例。后续的描述并不意在限制本发明的范围,可应用性或构造。相反,后续对数个示例性实施例的描述将为本领域的技术人员提供用于应用本发明的示例性实施例的描述。应当注意,本发明的实施例可以不同形式实现,而不脱离所附权利要求中界定的本发明的精神及范围。
本发明的实施例涉及用于在衬底的升起特征上沉积共形无定形碳膜的处理方法。用于将无定形碳膜沉积在升起特征上的常规等离子体沉积法(例如,PECVD)存在非共形沉积特性的问题。非共形沉积导致在升起特征的顶表面上以及升起特征之间的表面上优选地沉积视线(line-of-sight)碳,而在升起特征的侧壁上沉积的碳较少。
图1A-1C示意性地示出了根据本发明的实施例在衬底的升起特征上沉积共形无定形碳膜,并随后形成图案化碳硬掩膜,而图2示出了根据本发明的实施例将共形无定形碳膜沉积在衬底的升起特征上的方法的流程图200。
在202中,在处理室的衬底保持器上设置结构100,其包括具有场表面107以及升起特征106的衬底104,升起特征106具有顶表面105以及侧表面103。根据本发明的一个实施例,处理室可以是包含图5所示径向线槽天线(RLSA)等离子体源的等离子体处理系统的一部分。衬底104例如可以包含硅(Si)。升起特征106例如可包含Si,并可利用本领域公知的各种平版印刷术以及蚀刻方法来形成。根据一些示例,升起特征106可具有例如小于100nm,小于50nm,小于30nm,或小于20nm的宽度。在一些示例中,升起特征可具有例如大于0.5,大于1,大于2,大于3,大于5,或大于10的纵横比(高度/宽度)。
在204中,使包含碳氢化合物气体、含氧气体以及可选氩或氦的处理气体流入处理室。碳氢化合物气体具有通式CxHy,并且可包括C4H4,C4H6,C6H6,,或其组合。根据一个实施例,碳氢化合物气体可包括包含碳-碳三键(C≡C)的分子,例如C4H6(1-丁炔或2-丁炔)。在一些实施例中,碳-碳三化学键未因等离子体激发而被破坏,因此被认为增大了在无定形碳膜中各向同性沉积的程度。含氧气体可包含O2,H2O,或O2及H2O两者。在一个示例中,处理气体可包含C4H6气体,O2,以及可选的氩或氦,或由其构成。在一些示例中,氩或氦气的流率可介于结构100标准立方厘米每分钟(sccm)与500sccm之间,500sccm与1000sccm之间,1000sccm与2000sccm之间,2000sccm与3000sccm之间,3000sccm与4000sccm之间,或大于4000sccm。在一些示例中,碳氢化合物气体的流率可介于10sccm与200sccm之间,例如介于40sccm与60sccm之间,60sccm与80sccm之间,80sccm与100sccm之间,或大于100sccm。在一些示例中,含氧气体的流率可介于10sccm与100sccm之间,例如介于10sccm与40sccm之间或40sccm与100sccm之间。
在206中,在处理室中保持至少1Torr的处理气体压力。本发明已经发现使用大于300mTorr的处理气体压力,例如至少1Torr可防止在侧表面103的底部附近的无定形碳膜中形成裂缝,由此改进在侧表面103上的膜沉积速率。此外,在包含碳-碳三键的碳氢化合物气体的情况下(例如,C4H6),预测将含氧气体增加至处理气体可通过处理室中的等离子体增加烃基的形成。认为在处理室中增加烃基的形成可增加膜沉积处理的各向同性特性,由此增加沉积的无定形碳膜的共形性。处理室中的处理气体压力可由连接至排放管路及真空泵的压力控制器阀精确地控制。在一些示例中,处理气体压力可介于1Torr与10Torr之间,介于1Torr与5Torr之间,介于2Torr与4Torr之间,介于1Torr与2Torr之间,介于2Torr与3Torr之间,介于3Torr与4Torr之间,介于4Torr与5Torr之间,或大于5Torr。
在208中,利用微波等离子体源,利用处理室中的处理气体形成等离子体。等离子体源可包括位于处理室中的RLSA等离子体源,并能够产生介于1000W与5000W之间的微波能量,例如介于1000W与2000W之间,介于2000W与3000W之间,介于3000W与4000W之间,或介于4000W与5000W之间。
在210中,衬底104及升起特征106被暴露至等离子体,以在升起特征106的表面上沉积共形无定形碳膜。图1B示出了结构101,其包含沉积在图1A中的结构100上的共形无定形碳膜108。共形无定形碳膜108包括衬底104的场表面107上的厚度113、升起特征106的侧表面103上的厚度111、以及升起特征106的顶表面105上的厚度109。在一些示例中,一个或更多厚度109,111,113可介于5nm与100nm之间,例如介于5nm与10nm之间,介于20nm与30nm之间,介于30nm与50nm之间,或介于50nm与100nm之间。根据本发明的实施例,厚度109与111的比(d(顶部)/d(侧壁))小于2,小于1.5,或小于1.3,例如约为1.2。
图1C示出了通过各向异性蚀刻图1B中的结构101而形成的结构102。在该示例中,利用各向异性等离子体蚀刻处理来图案化共形无定形碳膜108,并且图案化无定形碳膜110可被用作用于在下层衬底104中蚀刻特征的硬掩膜。随后,利用公知灰化处理可方便地去除图案化无定形碳膜110。
图3A及图3B示出了根据本发明的实施例的气体流动示图,其示出了在衬底上的升起特征上沉积共形无定形碳膜。图3A中的气流图分别包括碳氢化合物气体以及含氧气体的恒定或大致恒定气流402及404。尽管图3A中未示出,但可以使用Ar或He的恒定或大致恒定气流。膜沉积始于时间t0并结束于时间t1。示例性沉积时间可介于约10秒与约120秒之间,例如介于约10秒与约30秒之间,介于约30秒与约60秒之间,或介于约60秒与约120秒之间。图3B中的气流图包括含氧气体的恒定或大致恒定气流414,以及碳氢化合物气体的顺序脉冲412a1,412a2,412a3,...,412an。在一些示例中,碳氢化合物的脉冲数量可介于约10与约50之间,例如介于约50与约100之间,介于约100与约200之间,或大于200。在一些示例中,脉冲长度可介于约0.1秒与约30秒之间,或介于约1秒与约20秒之间。
图4示出了作为O2气流的函数的碳膜共形性。参考图1A及图1B,由d(顶部)/d(侧壁)来表达碳膜共形性值的水平,其中1.0的共形性指升起特征106的顶表面105以及升起特征106的侧表面103上的无定形碳膜108的相同厚度。无定形碳膜具有介于约10nm与约20nm之间的厚度,并且被沉积在具有约80nm的厚度及高度的升起特征上。处理气体由C4H6,O2,及Ar构成。C4H6的气流速率约为80sccm,Ar的气流速率约为2500sccm,而O2的气流速率在约0sccm至约90sccm之间变化。升起特征上的碳膜共形性值对于0sccm的O2气流而言大于2,对于低O2气流(30sccm)而言大于1.5,对于中O2气流(60sccm)而言约为1.2,对于高O2气流(90sccm)而言大于2。
在图4中,对于低及高O2气流的高碳膜共形性值证明了升起特征上的差碳膜共形性。在零或低O2气流的情况下,认为因为碳氢化合物气体的氧辅助分解的低水平而导致的差的碳膜共形性。在高O2气流的情况下,认为因为对抗的膜沉积与膜蚀刻导致无定形碳膜的低净沉积速率造成了差的碳膜共形性。相反,中O2气流提供了对碳氢化合物气体的高水平分解以及低水平膜蚀刻,由此实现极佳的碳膜共形性。
图5是根据本发明的一个实施例的包含用于在衬底上沉积共形无定形碳膜的径向线槽天线(RLSA)等离子体源的等离子体处理系统的示意图。如图所示,等离子体处理系统10包括处理室20(真空室)、天线单元50(RLSA)以及衬底保持器21。处理室20的内部大致被划分为位于等离子体供应单元30下方的等离子体产生区域R1,以及处于衬底保持器21一侧的等离子体扩散区域R2。在等离子体产生区域R1中产生的等离子体可具有数电子伏(eV)的电子温度。当等离子体在等离子体扩散区域R2(其中执行膜形成处理)中扩散时,衬底保持器21附近的等离子体的电子温度降低至低于约2eV的值。衬底保持器21被集中布置在处理室20的底部上,并且用途用于安装衬底W的安装单元。在衬底保持器21内,提供了隔离构件21a,冷却套21b以及图中未示出的温度控制单元,用于控制衬底温度。
处理室20的顶部为开放端。等离子体供应单元30相对于衬底保持器21布置,并且经由密封构件(图中未示出,例如O环)被安装至处理室20的顶部。还起介电窗作用的等离子体供应单元30例如由诸如氧化铝或石英的材料制成,并且其呈大致盘状的平坦表面面对衬底保持器21。多个气体供应孔31相对于衬底保持器21被设置在等离子体供应单元30的平坦表面上。多个气体供应孔31经由气流通道32与等离子体气体供应端口33连通。等离子体供应源34及45向等离子体气体供应端口33内提供等离子体气体,例如氩(Ar)气、氦(He)气、氪(Kr)气或其他惰性气体。等离子体气体然后经由多个气体供应孔31被均匀地供应进入等离子体产生区域R1。
等离子体处理系统10还包括处理气体供应单元40,其于等离子体产生区域R1与等离子体扩散区域R2之间被大致布置在处理室20的中心。处理气体供应单元40由导电材料制成,例如包括镁(Mg)或不锈钢的铝合金。与等离子体供应单元30类似,多个气体供应孔41被设置在处理气体供应单元40的平坦表面上。处理气体供应单元40的平台表面相对于衬底保持器21布置,并且呈大致盘状。
处理室20还包括连接至处理室20的底部的排放管路26,将排放管路连接至压力控制阀28以及真空泵29的真空管路27。压力控制阀28可被用于在处理室20中实现希望的气体压力。
图6示出了处理气体供应单元40的平面图。如图所示,在处理气体供应单元40内形成了所谓喷洒板的栅格状气流通道42。栅格状气流通道42与多个气体供应孔41的沿垂直方向形成的上端连通。多个气体供应孔41的下端为面对衬底保持器21的开口。与之前的情况类似,多个气体供应孔41经由栅格状气流通道42与处理气体供应端口43连通。
此外,多个开口44形成在处理气体供应单元40上,使得多个开口44沿垂直方向穿过处理气体供应单元40。多个开口44使诸如氩(Ar)气、氦(He)气或其他惰性气体进入处于衬底保持器21一侧的等离子体扩散区域R2。如图6所示,多个开口44形成在相邻气流通道42之间。
例如从两个分离处理气体供应源46-47向处理气体供应端口43供应处理气体。处理气体供应源46-47分别对应于碳氢化合物气体(例如,C4H6)以及含氧气体(例如,O2)。等离子气体(例如,Ar气体)的流率可介于约100sccm至约4000sccm。含氧气体(例如,O2)的流率可介于约10sccm至约100sccm。碳氢化合物气体(例如,C4H6)的流率可介于约20sccm与约200sccm之间。可用作等离子体气体及处理气体的更详细气体列表如上参考图2描述。此外,如上参考图2描述可以使用的处理参数,包括处理室压力及衬底温度。
一个或更多等离子体气体、碳氢化合物气体以及含氧气体流过栅格状气流通道42,并经由多个气体供应孔41被均匀地供应进入等离子体扩散区域R2。等离子体处理系统10还包括四个阀(V1-V4)以及四个流率控制器(MFC1-MFC4),用于分别控制等离子体气体、碳氢化合物气体以及含氧气体的供应。
外部微波产生器55经共轴波导54向天线单元50提供具有预定频率(例如,2.45GHz)的微波信号。共轴波导54可包括内部导体54B以及外部导体54A。来自外部微波产生器55的微波能量于等离子体产生区域R1中在等离子体供应单元30紧下方产生电场,由此在处理室20内激发等离子体气体,例如Ar气、He气或其他惰性气体。
图7示出了天线单元50(RLSA)的部分剖面视图。如图所示,天线单元50可包括扁平天线主体51、径向线槽板52、以及介电板53以缩短微波信号的波长。扁平天线主体51呈具有开放端底表面的环形。形成径向线槽板52以封闭扁平天线主体51的开放端底表面。扁平天线主体51以及径向线槽板52由具有平坦中空环形波导的导电材料制成。
多个槽56被设置在径向线槽板52上,以产生环形极化波。多个槽56被布置为大致T形,在其间具有微小缝隙,沿周向呈同心环形或螺旋形。因为槽56a及56b彼此垂直,故包含两个垂直极化分量的环形极化波作为平面波从径向线槽板52发出。
介电板53由诸如氧化铝(Al2O3)或氮化硅(Si3N4)的低损耗介电材料制成,其布置在径向线槽板52与扁平天线主体51之间。如图5所示,利用图5中未示出的密封构件将径向线槽板52安装至处理室20,使得径向线槽板52与罩板23紧密接触。罩板23被布置在等离子体供应单元30的上表面上,并且由诸如氧化铝(Al2O3)的微波传输介电材料形成。
参考图5,外部高频能量供应源22经由匹配网络25电连接至衬底保持器21。外部高频能量供应源22产生具有预定频率(例如,13.56MHz)的RF偏压,用于控制被拉至衬底W的离子能量。外部高频能量供应源22还被构造以可选地提供RF偏压脉冲,脉冲频率可大于1Hz,例如2Hz,4Hz,6Hz,8Hz,10Hz,20Hz,30Hz,50Hz或更大。示例性RF偏压可介于约100W与约200W之间,介于约200W与约300W之间,介于约300W与约400W之间,或介于约400W与约500W之间。注意,本领域的技术人员将理解,外部高频能量供应源22的能量水平与正被处理的衬底的尺寸相关。例如,在处理中,300mm Si晶片需要比200mm Si晶片消耗更大的能量。等离子体处理系统10还包括DC电压生成器35,其能够向衬底保持器21选择性地提供介于约-5kV与约+5kV之间的DC电压偏压。
在形成共形无定形碳膜期间,可利用等离子体供应单元30将诸如Ar气体的等离子体气体引入处理室20。另一方面,可利用处理气体供应单元40将碳氢化合物气体以及含氧气体以及作为载气的Ar引入处理室20。如图7所示,也可利用等离子体供应单元30将碳氢化合物气体以及含氧气体引入处理室20。
已经描述了在升起特征上沉积共形无定形碳膜的处理方法的多个实施例。已经为了说明及描述的目的在以上描述了本发明的实施例。并不意在将本发明限于以上描述的具体形式。以上描述以及所附权利要求包括仅用于描述目的的术语,而并不意在构成限制。例如,这里使用(以及在权利要求中使用)的术语“上”并不要求衬底“上”的膜直接位于衬底上及与其立即接触,由此第二膜或其他结构可介于膜与衬底之间。
本领域的技术人员可理解,通过以上描述,对本发明的各种改变及变化是可行的。本领域的技术人员将认识到图中所示的各组件的各种等同组合及替换。因此,本发明的范围并不限于这些详细描述,而应由所附权利要求来决定。

Claims (19)

1.一种用于形成半导体器件的方法,包括:
在处理室中的衬底保持器上设置衬底,其中所述衬底包含具有顶表面及侧表面的升起特征;
使处理气体流入所述处理室,其中所述处理气体包含碳氢化合物气体和含氧气体,并且其中,所述处理气体的气流包括所述含氧气体的持续气流以及所述碳氢化合物气体的气流,所述碳氢化合物气体的气流包括所述碳氢化合物气体的顺序脉冲;
在所述处理室中保持处理气体压力为至少1Torr;
利用微波等离子体源来利用所述处理气体形成等离子体;并且
将所述衬底暴露至等离子体,以在所述升起特征的表面上沉积共形无定形碳膜,其中,在所述顶表面上的所述共形无定形碳膜的厚度与在侧壁表面上的所述共形无定形碳膜的厚度之比率小于2。
2.如权利要求1所述的方法,还包括
在所述暴露期间将射频(RF)偏压施加至所述衬底保持器。
3.如权利要求1所述的方法,其中,所述处理室内的所述处理气体的所述处理气体压力介于1Torr与5Torr之间。
4.如权利要求1所述的方法,还包括
将所述衬底保持器的温度保持在200℃之下。
5.如权利要求1所述的方法,其中,形成所述等离子体包括通过包含面对所述衬底的径向线槽天线(RLSA)的微波等离子体源来激发所述处理气体。
6.如权利要求1所述的方法,其中,所述碳氢化合物气体包括未因等离子体激发而破裂的碳-碳三化学键。
7.如权利要求1所述的方法,其中,所述碳氢化合物气体包括C4H4,C4H6,C6H6,或其组合。
8.如权利要求1所述的方法,其中,所述含氧气体包括O2,H2O,或O2及H2O两者。
9.如权利要求1所述的方法,其中,所述处理气体包含C4H6和O2
10.如权利要求1所述的方法,其中,所述比率小于1.4。
11.如权利要求1所述的方法,还包括:蚀刻所述共形无定形碳膜以形成图案化硬掩膜。
12.如权利要求1所述的方法,其中,所述处理气体还包含氩、氦、或氩及氦两者。
13.一种用于形成半导体器件的方法,包括:
在处理室中的衬底保持器上设置衬底,其中所述衬底包含具有顶表面及侧表面的升起特征;
使处理气体流入所述处理室,其中所述处理气体包含含氧气体的持续气流,以及碳氢化合物气体的气流,所述碳氢化合物气体的气流包括碳氢化合物气体的顺序脉冲;
在所述处理室中保持处理气体压力为至少1Torr
利用包含面对所述衬底的径向线槽天线(RLSA)的微波等离子体源来利用所述处理气体形成等离子体,其中,所述碳氢化合物气体包括未因等离子体激发而破裂的碳-碳三化学键;并且
将所述衬底暴露至等离子体,以在所述升起特征的表面上沉积共形无定形碳膜,其中,在所述顶表面上的所述共形无定形碳膜的厚度与在侧壁表面上的所述共形无定形碳膜的厚度之比率小于2。
14.如权利要求13所述的方法,还包括
在所述暴露期间将射频(RF)偏压施加至所述衬底保持器。
15.如权利要求13所述的方法,其中,所述处理室内的所述处理气体的所述处理气体压力介于1Torr与5Torr之间。
16.如权利要求13所述的方法,还包括
将所述衬底保持器的温度保持在200℃之下。
17.如权利要求13所述的方法,其中,所述含氧气体包括O2,H2O,或O2及H2O两者。
18.如权利要求13所述的方法,其中,所述处理气体包含C4H6和O2
19.如权利要求13所述的方法,其中,所述比率小于1.4。
CN201210315731.6A 2011-08-25 2012-08-27 在升起特征上沉积高度共形无定形碳膜的方法 Expired - Fee Related CN102956473B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/217,813 2011-08-25
US13/217,813 US8399366B1 (en) 2011-08-25 2011-08-25 Method of depositing highly conformal amorphous carbon films over raised features

Publications (2)

Publication Number Publication Date
CN102956473A CN102956473A (zh) 2013-03-06
CN102956473B true CN102956473B (zh) 2015-07-29

Family

ID=47744307

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210315731.6A Expired - Fee Related CN102956473B (zh) 2011-08-25 2012-08-27 在升起特征上沉积高度共形无定形碳膜的方法

Country Status (5)

Country Link
US (1) US8399366B1 (zh)
JP (1) JP6010387B2 (zh)
KR (1) KR101921336B1 (zh)
CN (1) CN102956473B (zh)
TW (1) TWI496193B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107437547B (zh) * 2016-05-26 2020-03-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN108735570B (zh) * 2018-05-25 2019-06-18 中国科学院微电子研究所 用于SiC等离子体氧化的微波等离子体发生装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230129550A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Carbon gap fill processes
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101622693A (zh) * 2007-02-28 2010-01-06 东京毅力科创株式会社 无定形碳膜的形成方法、无定形碳膜、多层抗蚀剂膜、半导体装置的制造方法和计算机可读取的存储介质
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP2007224383A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
CN101484984B (zh) 2006-07-05 2010-12-15 东京毅力科创株式会社 无定形碳膜的后处理方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
JP5297885B2 (ja) * 2008-06-18 2013-09-25 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8557714B2 (en) * 2009-06-26 2013-10-15 Tokyo Electron Limited Adhesiveness of fluorocarbon (CFX) film by doping of amorphous carbon

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101622693A (zh) * 2007-02-28 2010-01-06 东京毅力科创株式会社 无定形碳膜的形成方法、无定形碳膜、多层抗蚀剂膜、半导体装置的制造方法和计算机可读取的存储介质
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer

Also Published As

Publication number Publication date
TW201316380A (zh) 2013-04-16
CN102956473A (zh) 2013-03-06
US20130052808A1 (en) 2013-02-28
KR20130022378A (ko) 2013-03-06
TWI496193B (zh) 2015-08-11
JP6010387B2 (ja) 2016-10-19
US8399366B1 (en) 2013-03-19
KR101921336B1 (ko) 2018-11-22
JP2013046070A (ja) 2013-03-04

Similar Documents

Publication Publication Date Title
CN102956473B (zh) 在升起特征上沉积高度共形无定形碳膜的方法
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US20210104399A1 (en) Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
TWI674628B (zh) 氮化鈦移除
US9478434B2 (en) Chlorine-based hardmask removal
US9449843B1 (en) Selectively etching metals and metal nitrides conformally
US9659791B2 (en) Metal removal with reduced surface roughness
US9299583B1 (en) Aluminum oxide selective etch
US9406523B2 (en) Highly selective doped oxide removal method
US9040422B2 (en) Selective titanium nitride removal
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
TW201631693A (zh) 自對準製程
TW201436032A (zh) 用於選擇性移除鎢之乾蝕刻
TW201430946A (zh) 選擇性氮化鈦蝕刻
JP2013229351A (ja) ドライエッチング方法
US11495454B2 (en) Deposition of low-stress boron-containing layers
KR102660694B1 (ko) 플라스마 처리 방법
US11626278B2 (en) Catalytic formation of boron and carbon films
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
JP2005286344A (ja) ドライエツチング装置および半導体装置の製造方法
KR20220010703A (ko) 붕소-도핑된 실리콘 재료들을 활용하는 통합 프로세스들
WO2022031475A1 (en) Deposition of low-stress carbon-containing layers
JP2019062045A (ja) ボロン系膜の平坦化方法およびボロン系膜の形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150729

Termination date: 20210827

CF01 Termination of patent right due to non-payment of annual fee