CN102804063A - 用于检测极紫外掩模基板上的缺陷的检验系统与方法 - Google Patents

用于检测极紫外掩模基板上的缺陷的检验系统与方法 Download PDF

Info

Publication number
CN102804063A
CN102804063A CN2010800288292A CN201080028829A CN102804063A CN 102804063 A CN102804063 A CN 102804063A CN 2010800288292 A CN2010800288292 A CN 2010800288292A CN 201080028829 A CN201080028829 A CN 201080028829A CN 102804063 A CN102804063 A CN 102804063A
Authority
CN
China
Prior art keywords
illuminating bundle
beam reflected
dof
nanometers
defects
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800288292A
Other languages
English (en)
Other versions
CN102804063B (zh
Inventor
S·E·斯托科夫斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Tencor Technologies Corp
Original Assignee
KLA Tencor Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Technologies Corp filed Critical KLA Tencor Technologies Corp
Publication of CN102804063A publication Critical patent/CN102804063A/zh
Application granted granted Critical
Publication of CN102804063B publication Critical patent/CN102804063B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

所提出的是用于检验未图案化的对象(诸如极紫外(EUV)掩模基板)以检验表面缺陷(包括非常小的缺陷)的新颖的检验方法与系统。缺陷可包括各种相位对象,诸如仅约1纳米高度的凸起和凹陷、以及小微粒。诸如用重新设置的深度UV检验系统,在小于约250nm的波长处执行检验。部分相干西格玛被设定在0.15到0.5之间。通过使用例如在一个正的聚焦深度(DOF)和一个负的DOF处的一个或多个散焦检验过程可找到相位缺陷。在特定实施例中,DOF在约-1到-3和/或+1到+3之间。可结合多个检验过程的结果来区分缺陷类型。检验方法可涉及应用匹配过滤器、阈值、和/或修正因子来改进信号噪声比。

Description

用于检测极紫外掩模基板上的缺陷的检验系统与方法
相关申请的交叉引用
本申请要求在2009年10月8日申请的、名为“用于检测EUV(极紫外)掩模基板上的缺陷的检验系统与方法”、临时申请号为61/249,920的美国临时申请的权益,该申请为所有目的通过引用其整体被包含在此。本申请还要求在2009年6月19日申请的、名为“用于检测EUV(极紫外)掩模基板上的缺陷的检验系统与方法”、临时申请号为61/218,866的美国临时申请的权益,该申请为所有目的通过引用其整体被包含在此。
背景
现有技术的光刻技术使用193纳米的紫外(UV)光源来在硅衬底上成像图案。这个类型的技术可产生尺寸约200-250纳米的技术节点(如,最小特征的尺寸,诸如平行线的1/2节距)。然而,更快速且更复杂的集成电路持续地要求更小的节点。浸没式光刻在这个方面做出某些改进,且容许产生45纳米的节点、甚至32纳米的节点。在浸没式光刻技术中,光刻系统的最终透镜和样本都被浸没在液体介质(诸如水)中。这个设置,因此,可产生很多处理结果。
近来已经提出了其他的“下一代”光刻技术以产生甚至更小的节点。一些有前景的示例包括极紫外(EUV)光刻、X射线光刻、电子束光刻、聚焦离子束光刻以及纳米压印光刻。这些新方法可导致新挑战。例如,纳米压印光刻可产生气泡缺陷,而EUV光刻可能非常易于受到甚至很微小的掩模缺陷的影响。
发明内容
所提出的是用于检验未图案化的物体(诸如极紫外(EUV)掩模基板)以检验表面缺陷(包括非常小的缺陷)的新颖的检验方法与系统。缺陷可包括各种相位物体(phase object),诸如仅约1纳米高度的凸起和凹陷、以及小微粒。在小于约250nm的波长处执行检验,诸如重新设置的深度UV检验系统。部分相干西格玛(sigma)被设定在0.15到0.5之间。通过使用例如在一个正的景深(DOF)和一个负的DOF处的一个或多个散焦检验过程(passes)可找到相位缺陷。在特定实施例中,DOF在约-1到-3和/或+1到+3之间。可结合多个检验过程的结果来区分缺陷类型。检验方法可涉及应用匹配过滤器、阈值、和/或修正因子来改进信号噪声比(SNR)。
在特定实施例中,用于在未图案化的物体表面上检验相位缺陷和/或微粒的方法涉及产生具有小于约250纳米波长的照明光束,将该照明光束穿过具有部分相干西格玛在约0.15到0.5之间的一组光学元件,将该照明光束以预确定的散焦范围聚焦在未图案化的物体表面上,用该照明光来检验未图案化的物体表面,这样从该表面反射出经反射的光束,且,基于经反射的光束,构建并分析结果图像来标识相位缺陷。
在特定实施例中,相位缺陷在高度上小于约10纳米且半峰值全带宽(FWHM)小于约200纳米。更具体地,相位缺陷可在高度上小于约2纳米且FWHM小于约100纳米。散焦范围可在约+1和+3景深(DOF)之间或在-1和-3DOF之间。
在特定实施例中,方法还涉及在第二预确定的散焦范围在未图案化物体表面上的第二照明光束。一个光束可位于在约+1和+3景深(DOF)之间的散焦范围,而另一个光束可位于约-1和-3DOF之间的散焦范围。该方法可涉及用时间延迟积分(TDI)检测器来捕捉经反射的光束。经反射的光束的路径可沿扫描方向在约100像素到1000像素之间,这由TDI检测器的分辨率所界定。
在特定实施例中,照明光束是深UV光束或极UV光束。在特定实施例中,照明光束具有约193纳米的波长。部分相干西格玛可在约0.2到0.4之间。
在特定实施例中,分析结果图像涉及,构建并应用过滤器到结果图像或从经反射的光束中产生出的经检测的信号,以使结果图像或经检测的信号的信噪比(SNR)最大化。在特定实施例中,方法还涉及应用修正因子将系统噪声(包括TDI校准效应)从结果图像中移除。例如,可确定修正因子,并在检验期间,自经反射的光束的初始捕捉开始应用所述修正因子。在同一个或其他实施例中,可从经反射的光的光强变化中确定修正因子。在特定实施例中,该方法涉及应用至少约9的阈值信号值来检测相位缺陷。
在特定实施例中,用该新颖方法所检验的未图案化的物体是极紫外(EUV)掩模基板。更一般地,未图案化的物体可以是透射物体。在特定实施例中,未图案化物体的表面包括石英或抗反射涂层(ARC)。
在特定实施例中,方法涉及用双视场TDI检测器捕捉经反射的光,该双视场TDI检测器设置为构建未图案化物体的表面的两个初始图像。可用两个不同的散焦值来构建这两个初始图像。例如,两个不同的散焦值可在正负符号上是相反的。在特定实施例中,两个初始图像包括为约零的DOF构建的聚焦的图像。可使用聚焦的图像来检测未图案化物体的被检验表面上的污染物。方法还可涉及基于两初始图像的比较,将缺陷分类为相位缺陷和表面污染物缺陷。在同一个或其他实施例中,可将两个初始图像合起来获得结果图像。
在特定实施例中,方法还包括执行未图案化物体的表面的附加检验过程,并构建与结果图像组合增加信噪比的附加结果图像。在特定实施例中,照明光束以基本直角被聚焦到未图案化物体的表面上。照明光束和经反射的光束共享其路径。在其他实施例中,照明光束以倾斜角度被聚焦到未图案化物体的表面上。照明光束和经反射的光束不共享其路径。在这些实施例中,方法还涉及减弱经反射的光束的零级分量和/或改变经反射的光束的零级分量的相位,使用成像孔来改进对比度和信噪比。
在特定实施例中,提供了用于检验未图案化物体表面上深度小于约10纳米的表面不平坦缺陷的系统。系统可包括用于产生具有小于约250纳米的照明光束的激光器、用于将照明光束以约-3到+3景深(DOF)之间聚焦范围内聚焦在未图案化物体表面的具有在约0.15到0.5之间的相干光西格玛的一组光学元件。系统还可包括用于将未图案化物体相关于照明光束移动来检验该物体表面的平台(stage)、用于捕捉响应于该照明光束而从该表面反射出来的经反射的光束的时间延迟积分(TDI)检测器、以及计算机系统,所述计算机系统包括至少一个存储器和至少一个处理器,用于基于所述经反射的光束而构建结果图像并分析结果图像来标识表面的不平坦缺陷。
本发明的这些和其它方面参照附图在下文中予以进一步描述。
附图说明
图1是示例各类型缺陷的极紫外(EUV)掩模基板的侧面示意图。
图2是示例根据特定实施例的EUV掩模基板的表面的侧示意图,其例示对两种类型的相位缺陷的检测。
图3示出光学系统点扩展函数在焦点和特定散焦点的四个模拟图像,示为同相中央点和异相(90℃)环。
图4是对于两种类型的相位缺陷,对比度作为焦点位置的函数的图示曲线。
图5是对应于根据特定实施例用于检验未图案化物体的表面的方法的处理流程。
图6是根据特定实施例的用于检验未图案化物体表面的检验系统的一些元件的示意性表示。
图7是根据特定实施例,对于1纳米高的相位缺陷,捕捉可能性作为半峰值全带宽(FWHM)的函数的曲线。
图8A和8B示出在硅覆盖的多层样本上的2纳米高80纳米FWHM凸起的原始和经内插的图像。
图9A和9B示出在石英衬底表面上的各种缺陷的两个图像。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对本发明的透彻理解。在没有这些特定细节中的一些或全部的情况下,也可实施本发明。在其他情况下,众所周知的处理操作并未进行详细描述以免不必要地混淆本发明。尽管将结合特定实施例来描述本发明,但是可理解的是并不意在将本发明限制在这些实施例中。
引言
可使用此处描述的新颖方法用于检验极紫外(EUV)掩模基板和其他半导体组件。在特定示例中,可使用特定地设置的深紫外(DUV)检验系统来检验多层EUV掩模基板的相位缺陷,诸如凸起和凹陷。可使用这些方法来检测诸如1纳米高度且50纳米半峰值全带宽(FWHM)那样小的缺陷。换言之,这些技术满足了22纳米半节距(hp)节点的检验目标,且可以较高的吞吐速度执行。可用在约0.15到0.5之间的部分相干西格玛来设置检验系统。可用时间延迟积分(TDI)检测器来捕捉经反射的光并将经反射的光通过计算机系统用于分析。通过应用特别地设计的过滤器、阈值和修正因子可改进信号噪声比(SNR)。
图1是根据特定实施例的EUV掩模基板的侧面示意图。EUV掩模基板一般包括衬底102,诸如低热膨胀(LTE)玻璃板。该衬底由多层材料104所覆盖,多层材料104可在EUV波长提供良好的反射用于做光刻曝光。在特定实施例中,多层104包括用7纳米的节距设置的30-40个重复的钼(Mo)和硅(Si)层对。多层104可包括覆层106。在其他实施例中,样本可包括石英、抗反射涂层(ARC)和其他特征。
EUV掩模基板和其他样本有时具有表面缺陷。这些缺陷可一般地被表征为相位缺陷,诸如凹陷112和凸起114以及微粒116。这些凸起和凹陷一般由衬底102上的缺陷所引起。因此,这些层一般也是扭曲的。凸起和凹陷几乎是单纯的光学相位物体,但是微粒具有幅值和相位特性。此二种类型的缺陷都可很大程度地损坏EUV光刻且需要被仔细地筛选。例如,由1纳米凸起引起的相移足够产生可印刷的缺陷。
可使用光化学(如,13.5纳米)检验工具来用于检验这些缺陷,但是这些工具在适于非光化学用途的高吞吐量设置方面并不被期待可用已达数年了。当前可用的系统具有吞吐量或灵敏度的限制。例如,由日本的Yokohama的LaserTec所提供的多光束共焦显微镜通过监测反射信号来检测表面分布。然而,这些显微镜具有较差的灵敏度且一般不适合于检验EUV掩模基板缺陷。更高的照明功率可改进灵敏度但经常损坏EUV掩模基板。已经提出了暗场13.5微米显微镜用于EUV掩模基板检验,但是这些暗场系统可能很慢且不适于生产用途。
已经发现,可将DUV检验系统设置为用于检验EUV掩模基板和其他类似样本上的小的表面缺陷。根据特定实施例,Teron600检验系统,其可从加州米尔皮塔斯KLA-Tencor公司获得,已经被设置为检验在一般EUV掩模基板上小到高度1纳米且80纳米FWHM的相位缺陷的检验。将检验结果与在加州伯克利的劳伦斯伯克利国家实验室(Lawrence Berkeley National Laboratory)里的光化学的先进的检验工具获得的那些结果相比较,发现两个检验系统之间一致。下面将描述一些实验结果。还已经发现DUV系统还可被设置用于检验微粒缺陷。
现在将简短地描述光学检验原理来提供此处提出的各种缺陷检测技术的环境。暗场检测涉及从表面散射的辐射的收集和分析。这个技术敏感于小的缺陷,诸如微粒和尖锐边缘。不过一些表面形貌,诸如较大的中空缺陷和一些结晶缺陷(滑动线和堆垛层错)可能不充分地散射光。明场检测涉及从表面经反射的辐射的收集和分析。这个技术敏感于所检验的表面上的变化(如,倾斜)。明场检测中经反射的光的各方面可揭示有关这个表面的有用的信息。例如,经反射的光强度可揭示表面材料信息。经反射的光的相位和方向可在另一个方面也揭示了表面形貌和材料信息。
图2是示例根据特定实施例的EUV掩模基板的表面的侧示意图,其例示对两种类型的相位缺陷的检验。所检验的表面的基本平坦的部分202被图示为参照,用以说明从凹陷204和凸起206所反射的光束中相移差异。应该注意的是表面粗糙度产生一些附加相位波动,这成为整体背景噪声的一部分。表面粗糙度一般在整个样本表面是一致的,表面粗糙度包括平的部分(诸如元件202)以及缺陷(诸如元件204和206)。这样,可通过应用特别地设计的过滤器来至少部分地补偿粗糙度。这样的过滤器可基本增加信号噪声比。
当检验凹陷204的时候,经反射的光与从平的部分202所反射出来的光具有一样的幅值。然而,当与平的表面反射出来的光的相位(X)相比,从凹陷204所反射出来的光具有负的相位差异(Y)。类似地,当检验凸起206的时候,经反射的光具有一样的幅值,但是与参考(X)相比,现在它具有正的相位差异(Z)。在特定实施例中,可将所检验的表面的一部分或者整个表面用作相位值参照来确定相移。
可用下式来表达横向的小缺陷的光幅值(D):
D=exp(iφ)   S=1
相位(φ)对应于在点扩展函数上积分的平均缺陷相位。平的环境的光幅值(S)被设定为1。可通过使用点扩展函数混合多个光幅值来获得图像对比度。因此,可用下式来表达缺陷强度对比度:
Contrast ≈ | S | 2 - | S + D 2 | 2 = - 1 2 [ 1 - cos ( φ ) ] = - 1 2 sin 2 ( φ / 2 ) ≅ - φ 2 8
对于较小的相位值(φ),可将正弦函数近似为线性函数。
然而,对于中空缺陷对比度值是相对较小的。为了增加对比度,可将照明光束散焦来改变平的周边环境(S)和缺陷(D)的相关相位。在焦点(焦点深度(DOF)约等于0)处,点扩展函数仅具有实部。然而,在散焦状态(DOF<0或DOF>0)下,点扩展函数具有与环的形状对应的虚部。图3中示出了这个现象,其具有光学点扩展函数在焦点和特定散焦点处的四个模拟图像。这些图像是在同相中心点和异相(90℃)环处被捕捉的。换言之,可通过混合中心点和环来实现图像对比度,中心点和对比度相对彼此是90°异相。这样,可用下式表达对比度:
Contrast ≈ | S | 2 - | S + iD 2 | 2 = sin ( φ ) ≈ φ
在这个最后的对比度表达式中,对于较小的相位值,对比度值与相位值(φ)线性地成比例。凸起和凹陷可具有相反的对比度信号,且当从正的转换到负的DOF的时候对比度符号会翻转。图4是对于两种类型的相位缺陷,对比度作为焦点位置(也就是,DOF)的函数的图示曲线。一个缺陷是在表面上延伸的凸起,另一个缺陷是向表面下突出的凹陷。两个类型的缺陷被图示为具有相同的尺寸,如,高度1纳米且FWHM约70纳米,且使用同样的系统(如,DUV检验系统)检验。对比度在焦点(也就是,DOF~0)近乎为零。因此,使用一个或多个散焦位置(DOF<0或DOF>0)来检验相位缺陷。当执行多个检验过程和/或在同一个过程中使用多个光束的时候,可使用多个散焦的设置。例如,可使用正的和负的DOF的组合。在同一个或其他实施例中,可使用散焦的(DOF<0或DOF>0)和聚焦(DOF~0)的位置。可使用聚焦的位置来,例如,如下解释的那样检测微粒。
与相位缺陷不一样,微粒具有不同的光学性质。微粒散射更多的光到成像孔之外,且被认为既是幅值物体又是相位物体。进一步,微粒一般比一般相位缺陷更大,或者,更具体地,大于EUV掩模基板相位缺陷的一般高度。因此,对于微粒检测需要与相位缺陷检测不同的DOF。更具体地,微粒主要是“幅值物体”,因此最好在焦点附近(DOF~0)检测微粒。然而,即使在散焦条件下,微粒仍可提供显著调制。
过程实施例
此处描述的新颖的表面检验技术涉及用具有小于约250纳米的波长的光束来照明所检验的表面。该光束被穿过具有在0.15到0.5之间的部分相干西格玛的一组光学元件。该光束在一个或多个聚焦和/或散焦的条件下被聚焦到所检验的表面。经反射的光束由检测器所收集,且可使用该光束来构建所检验的表面的结果图像。这个操作可涉及设计和/或应用各种过滤器和阈值。考虑这篇文章中所描述的这些技术的特定各方面:在光学仪器工程师协会(SPIE)会议录,7636卷,76360Z-76360Z-9页(2010)上发表的、作者为Stan Stokowski、JoshuaGlasser、Gregg Inderhees、以及Phani Sankuratri,名为“Inspecting EUV maskblanks with a 193 nm system(用193nm的系统检验EUV掩模基板)”,这篇论文通过参考全体并入此处。
图5示出对应于根据特定实施例用于检验未图案化物体的表面上的相位缺陷和/或微粒的过程500的处理流程。可使用这个过程来检测高度小于约10纳米且FWHM小于约200纳米的相位缺陷,例如,小于约10x200纳米。在更特定的实施例中,可使用这些技术来检测小于约5x 150纳米的缺陷、或者小于约3x 100纳米、或者小于约2x 80纳米、或甚至小于约1x 50纳米的缺陷。未图像化物体可以是EUV掩模基板或任何其他类似的透射物体。在特定实施例中,所检验的表面包括石英和/或抗反射涂层(ARC)。
过程500可开始于产生照明光束(框502)。在特定实施例中,照明光束具有约小于250纳米的波长。更具体地,照明光束可以在DUV或EUV。可为这个目的使用各种照明源,诸如激光。一些照明源的附加描述将在下文中图6的上下文中提供。
这个过程可继续,将照明光束通过一组光学元件(框504)。光学元件的一些示例包括聚光透镜、投影透镜、分束器、镜子、波束转向设备和光束调整器。可选择聚光透镜和投影透镜以使它们的数值孔径使得检验系统的部分相干西格玛在约0.15到0.5之间。应该注意的是部分相干西格玛是聚光透镜数值孔径和投影透镜数值孔径的比值。在特定实施例中,部分相干西格玛在约0.2到0.4之间,更具体地,在约0.25到0.3之间,或者在约0.15到0.3之间,或者在约0.15到0.2之间。
然后将照明光束聚焦在所检验的样本的表面上(框506)。散焦范围可位于约+1到+3DOF之间、位于约-1到-3DOF之间、或者位于约+1到-1DOF之间。在特定实施例中,绝对的DOF值(代表了包括负的和正的DOF范围)位于约1.25到1.75之间或者、更具体地,位于约1.5到2.5之间、或者甚至约2。在特定实施例中,方法涉及用位于不同DOF的照明光束照明表面和/或用位于不同DOF的照明光束重复表面的检验过程。例如,两个光束可具有符号上相反的DOF值。更具体地,一个光束可具有在约+1到+3DOF之间的DOF,而另一个光束位于约-1到-3DOF之间。在另一个示例中,可将一个光束在约+1到+3DOF之间散焦,而另一个光束可被聚焦,即,其具有约为零的DOF。第二个(聚焦的)光束可产生图像(即,聚焦的图像)用于检测微粒和其他污染物。一般而言,可使用在不同DOF产生的多个图像来将缺陷分类为相位和表面污染物缺陷。在特定实施例中,在后续操作中,在构建结果图像的过程中将多个图像合起来。
过程500继续表面的检验(框508)并使用一个或多个检测器捕捉一个或多个经反射的光束(框510)。经反射的光束或该光束的至少所捕捉的部分可基于检测器的分辨率而被表征。在特定实施例中,跨检测器的经反射的光束的扫描路径沿扫描方向为约100像素到1000像素之间宽,更具体地,为约300像素到600像素之间宽。光束的长度可至少约500像素或者,更具体地,至少约1000像素。如果产生了多个经反射的光束,那么可使用多视场检测器来捕捉这些光束。
过程500可继续构建结果图像(框510)并分析结果图像以标识各种缺陷(框512)。这个构建和分析过程可涉及汇总或比较多个图像、应用多种过滤器、阈值、集中度值(concentration value)以及执行其他技术。由于EUV掩模基板是未图案化的物体,可在这些操作中大多数地或近乎全部地消除系统噪声源。例如,可从经检测的信号或图像中检测或过滤出系统噪声。通过消除了大部分系统噪声,剩余的噪声仍然可含有随机噪声、硬粒和光斑。然而,通过这些噪声因子产生的实际无噪声的信号和多个信号具有不同的空间频率谱,且可彼此解耦。因此,可设计并应用过滤器来进一步改进SNR。在特定实施例中,过滤器的应用可至少约两倍或至少约三倍或者甚至至少约四倍地增加SNR。可发现,当部分相干西格玛被设定为小于约0.25,或者甚至小于约0.20的时候,可设计较好“匹配的”过滤器。
在特定实施例中,可应用修正因子来从由TDI增益和偏置的像素-对-像素的差异所造成的结果图像中移除系统噪声。例如,可从校准过程中确定修正因子并在检验/扫描过程中应用修正因子。进一步,当检验发生的时候,在没有检测到任何缺陷的情况下,监测每一个像素行的平均值并确定所应用的修正因子。
在特定实施例中,分析结果图像包括应用至少约7的阈值信号值来检测相位缺陷。阈值信号值被定义为与噪声信号的标准偏差的比值。增加SNR的另一个方法是执行多个检验过程。然而,每一个附加检验过程都减缓了检验系统吞吐量。
在特定实施例中,将照明光束以基本直角聚集在表面上,这导致照明光束和经反射的光束共享它们的路径。在其他实施例中,将照明光束以倾斜的角度聚集在表面上,照明光束和经反射的光束没有共享它们的路径。在另一个实施例中,在到达检测器之前,可进一步削弱经反射的光束的零级分量。进一步,可使用成像孔来改变经反射的光束的零级分量的相位以改进对比度和信号噪声比。
装置实施例
在特定实施例中,用于检验未图案化物体表面上的相位缺陷的系统包括用于产生具有小于约250纳米的照明光束的光源、和具有在约0.15到0.5之间的部分相干西格玛的一组光学元件。可使用这些光学元件来将照明光束以上列的一个或多个DOF值聚焦到所检验的表面上。进一步,检验系统可包括用于将未图案化物体相对于照明光束移动的平台,用于检验未图案化物体的表面。可由检测器来捕捉一个或多个经反射的光束,检测器诸如时间延迟积分(TDI)检测器。特定装置的细节还在Broadbent,D.S.Alles,M.T.Giusti,D.F.Kvamme,R.-F.Shi,W.L.Sousa,R.Walsh,Y.Xiong等人发表的、名为“Results from anew 193nm Die-to-database Reticle Inspection Platform(新的193nm管芯-对-数据库分划板检验平台的结果)”、在2010年4月13到15日在日本Yokohama举行的Photomask Japan 2010会议上发表的陈述中有所描述,这个陈述通过引用全部并入此。在美国专利6,271,916和美国专利6,201,601还提供了其他描述,这些陈述通过引用全部并入此。
如上所述,特定地设置的检验系统的一个示例是可从加州米尔皮塔斯KLA-Tencor公司获得的Teron 600。这个系统是最近开发的193nm长度的高分辨率分划板缺陷检验平台,在管芯-对-数据库模式和管芯-对-管芯模式中均可操作。这个系统对于光学和EUV应用都适用。
一般而言,检验系统可包括多个外壳。一个外壳,诸如主外壳,可包括光学部件、平台和/或样本加载器。这个外壳被设计为最小化振动、热波动和污染,以提供足够的性能。系统可在分离的位置包括各种支持系统,诸如鼓风机、热交换器、控制电子元件和电源。将这些组件保持分离可最小化对敏感的光学元件的影响。
图6是根据特定实施例的检验系统的一些组件的示意性例示。系统600包括可提供相干光束的光源602。光源的一个示例是准连续波激光器。光源应该一般地提供较高的脉冲重复率、低噪声、高功率、稳定性、可靠性和扩展性。光源可包括用于准确的光束定位的光束转向设备和光束调整设备,光束调整设备可被用于提供高阶控制、减少噪声光斑以及较高的光束一致性。应该注意的是光束转向设备和/或光束调整设备可以是例如激光器的分离的物理设备。
检验系统包括一组光学元件,其具有上述的一个或多个值的部分相干西格玛。还可使用这些元件来将照明光束以各种DOF值聚焦到所检验的表面612上,这也在上面描述了。为了简洁起见,图6仅示出了聚焦透镜604、成像透镜608、检测器透镜613和分束器606。然而,本领域技术人员将理解,检验系统可包括需要实现特定检验功能的其他光学元件。成像透镜608可以相对较大以符合特定的低象差要求。可将成像透镜调整为不同像素尺寸,如,每个像素小于约100nm或者更具体地,小于约72nm或者甚至小于60nm。检验系统可在不同DOF值同时地检验表面612。
然后将经反射的光束传送到检测器614。在特定实施例中,检测器是时间延迟积分(TDI)检测器。一般的TDI检测器累积在所检验的表面同一区域的多次曝光,有效地增加了用于收集入射光的积分时间。物体动作与曝光同步来确保轮廓鲜明的图像。一般而言,检测器可包括换能器、收集器、电荷耦合器件(CCD)或者其他类型的辐射传感器。
图6示出示例,其中以相对于所检验表面基本直角的角度讲照明光束引导向样本表面612。在其他实施例中,可以倾斜角度引导照明光束,这容许照明光束和经反射的光束的分离。在这些实施例中,可在经反射的光束路径上放置衰减器,在经反射的光束达到检测器之前削弱经反射的光束的零级分量。进一步,可将成像孔放在经反射的光束的路径上来改变经反射的光束的零级分量的相位。
检测器一般附接在计算机系统616或者,更一般地,附接在信号处理设备上,信号处理设备可包括被设置为将来自检测器614的模拟信号转换为用于处理的数字信号的模数转换器。可将计算机系统616设置为分析一个或多个经反射的光束的强度、相位和/或其他特性。计算机系统616可被设置为(如,用编程指令)提供用户界面(如,计算机屏幕)用于显示所得测试图像和其他检验特性。计算机系统616还可包括一个或多个输入设备(如,键盘、鼠标、操纵杆)用于提供输入,诸如改变DOF。计算接系统616还可连接至平台610,平台610用于控制,例如,样本位置(如,聚焦和扫描)和检验系统元件的其他检验参数和设置。在特定实施例中,计算系统616被设置为执行上述的检验技术。计算机系统610一般有经由合适的总线或其他通信机制耦合至输入/输出接口和一个或多个存储器的一个或多个处理器。
由于可采用这样的信息和程序指令来实现此处描述的系统/方法,本发明涉及机器可读介质,其包括程序指令/计算机代码,用于执行此处描述的各种操作。机器可读介质的示例包括,但不限于,诸如硬盘、软盘和磁带之类的磁介质;诸如CD-ROM盘之类的光学介质;诸如光盘之类的磁光介质;和硬件设备,特定地被设置为存储和执行程序指令,诸如只读存储器设备(ROM)和随机存取存储器(RAM)。程序指令的示例包括诸如由编译器产生的机器代码和含有可由计算机使用解释器执行的更高级代码的文件。
实验
进行一系列实验来标识多层EUV掩模基板表面上以及石英表面的相位缺陷与微粒。在一个实验中,使用被特定设置的KLA-Tencor Teron 600DUV检验系统来检验含有多个具有已知尺寸的凸起阵列的经编排的(programmed)缺陷的掩模。经编排的缺陷的掩模含有13个尺寸逐个增大的相位凸起块。例如,块12具有1纳米高x 90纳米FWHM缺陷,块11具有1.5x 100纳米缺陷,而块1-10具有逐个增大的缺陷。Teron 600系统展示了对于块1-11的100%的捕捉概率,对块12的74%的捕捉概率。
基于这些实验结果,可对这个检验系统做出附加改变。例如,更好地调谐施加给结果图像的过滤器以用于表面粗糙度。进一步,在FWHM是独立变量的情况下,对1纳米高的相位缺陷的捕捉概率进行估计。这些估计被呈现在图7中。最左边的曲线702对应于对具有一般表面粗糙度的样本进行检验检验所用的初始的检验参数。对于窄于70纳米FWHM的缺陷,捕捉率急剧下降。从中间曲线704中证明了新的过滤器和其他检验参数容许将灵敏度改进了附加的5-7纳米。然而,对于具有更低的表面粗糙度的样本可获得基本上更好的结果。最左边的曲线706代表了对于具有2.5倍更低的表面粗糙度的样本的概率曲线。
在另一个实验中,检验了硅覆盖的多层样本上的尺寸为2x 80纳米的凸起和缺陷,且分析了图像。在100%的概率捕捉了所有的缺陷。图8A和8B示出了一个凸起缺陷的原始和经内插的图像。在图像上可清楚地分辨出甚至相对小的缺陷。
进行另一个实验来调查关于钌(Ru)和硅(Si)覆盖的多层样本的反射率的检验过程的效果。进行这个实验来减轻在检验过程中的DUV和EUV暴露(即,在各检验敏感度级别)会导致反射率变化的顾虑。这个实验涉及在每一个样本上的20到50次的检验过程。使用了在最大检验强度下的DUV和EUV曝光。在13.5nm处测量在这个重复检验之前和之后的反射率,且使用X射线反射率(XRR)技术来测量膜结构。没有检测到反射率的可测量的改变。
在另一个实验中,分析了在石英衬底表面上的缺陷。相比硅覆盖或钌覆盖的衬底,石英衬底一般地具有相对低的反射系数。这样,这些衬底可需要相当地更高的照明强度来获得足够的SNR。图9A和9B示出在石英表面上的各种缺陷的两个图像。图9A示出两个凹陷(是两个亮点)、凸起(是暗点)。图9B也示出三个凹陷。进一步,图9B中的曲折线被认为是石英上膜污染的指示。
整体上,这些实验示出,使用此处描述的检验方法和装置可满足22nm节点的相位缺陷检测的需求。可期待将来EUV掩模基板的表面粗糙度将会下降,这将会进一步增加所提出的方法与装置的灵敏度极限。
结论
尽管为了理解清楚的目的,已经以某种程度的细节描述了上述发明,明显的是在随附权利要求的范围内可实现特定改变和修改。应该注意的是有很多可选方法来实现本发明的过程、系统和装置。相应地,本发明被认为是说明性的而不是限制性的,且本发明并不限于此处给出的细节。

Claims (31)

1.用于在未图案化的物体表面上检验相位缺陷和/或微粒的方法,所述方法包括:
产生具有小于约250纳米波长的照明光束;
将所述照明光束穿过具有在约0.15到0.5之间的部分相干西格玛的一组光学元件;
将所述照明光束以预确定的散焦范围聚焦在所述未图案化的物体表面上;
用所述照明光束检验所述未图案化物体的表面,从而经反射的光束从所述表面反射出来;以及
基于所述经反射的光束,构建并分析结果图像来标识所述相位缺陷。
2.如权利要求1所述的方法,其特征在于,所述相位缺陷在高度上小于约10纳米且半峰值全带宽(FWHM)小于约200纳米。
3.如权利要求1所述的方法,其特征在于,所述相位缺陷在高度上小于约2纳米且半峰值全带宽(FWHM)小于约100纳米。
4.如权利要求1所述的方法,其特征在于,所述预确定的散焦范围在约+1到+3景深(DOF)或约-1到-3DOF之间。
5.如权利要求1所述的方法,其特征在于,还包括以第二预确定的散焦范围聚焦在所述未图案化物体表面上的第二照明光束,其中所述预确定的散焦范围在约+1到+3景深(DOF)之间,且所述第二预确定的散焦范围在约-1到-3DOF之间。
6.如权利要求1所述的方法,其特征在于,所述构建和分析所述结果图像包括用时间延迟积分(TDI)检测器来捕捉所述经反射的光束。
7.如权利要求6所述的方法,其特征在于,所述经反射的光束的路径沿扫描方向其宽度在约100像素到1000像素之间,该宽度由所述TDI检测器的分辨率所界定。
8.如权利要求1所述的方法,其特征在于,所述照明光束是深UV光束或极UV光束。
9.如权利要求1所述的方法,其特征在于,所述照明光束具有约193纳米的波长。
10.如权利要求1所述的方法,其特征在于,所述部分相干西格玛被调整为在约0.2到0.4之间。
11.如权利要求1所述的方法,其特征在于,分析结果图像包括构建并应用过滤器到所述结果图像或从经反射的光束中产生出的经检测的信号,以使结果图像或经检测的信号的信噪比(SNR)最大化。
12.如权利要求1所述的方法,其特征在于,还包括应用修正因子来将包括TDI校准效应的系统噪声从结果图像中移除。
13.如权利要求12所述的方法,其特征在于,确定修正因子,并在检验的过程中,自所述经反射的光束的初始捕捉开始应用所述修正因子。
14.如权利要求12所述的方法,其特征在于,从经反射的光的强度变化中确定所述修正因子。
15.如权利要求1所述的方法,其特征在于,其中分析结果图像包括应用至少约7的阈值信号值来检测相位缺陷。
16.如权利要求1所述的方法,其特征在于,其中所述未图案化的物体包括极紫外掩模(EUV)基板。
17.如权利要求1所述的方法,其特征在于,其中所述未图案化的物体是透射的物体。
18.如权利要求1所述的方法,其特征在于,所述未图案化的物体的表面包括石英或抗反射涂层(ARC)。
19.如权利要求1所述的方法,其特征在于,构建和分析所述结果图像包括用被设置为构建未图案化物体的表面上的两个初始图像的双视场TDI检测器来捕捉经反射的光。
20.如权利要求19所述的方法,其特征在于,对于两个不同的散焦值而构建所述两个初始图像。
21.如权利要求20所述的方法,其特征在于,所述两个不同的散焦值在符号上是相反的。
22.如权利要求20所述的方法,其特征在于,所述两个初始图像包括为约零的DOF而构建的聚焦的图像。
23.如权利要求22所述的方法,其特征在于,使用所述聚焦的图像来检测所述未图案化物体表面上的污染。
24.如权利要求22所述的方法,其特征在于,还包括基于所述两个初始图像的比较,将缺陷分类为相位缺陷和表面污染缺陷。
25.如权利要求19所述的方法,其特征在于,构建所述结果图像包括将所述两个初始图像合并起来。
26.如权利要求1所述的方法,其特征在于,还包括执行所述未图案化物体的表面的附加检验过程,并构建与所述结果图像组合来增加信噪比的附加结果图像。
27.如权利要求1所述的方法,其特征在于,以基本直角将所述照明光束聚焦到所述未图案化物体的表面上,且其中所述照明光束和所述经反射的光束共享它们的路径。
28.如权利要求1所述的方法,其特征在于,以倾斜的角度将所述照明光束聚焦到所述未图案化物体的表面上,且其中所述照明光束和所述经反射的光束并不共享它们的路径。
29.如权利要求28所述的方法,其特征在于,还包括削弱所述经反射的光束的零级分量。
30.如权利要求29所述的方法,其特征在于,还包括使用成像孔来改变经反射的光束的零级分量的相位以改进对比度和信号噪声比。
31.用于检验未图案化物体表面上深度小于约10纳米的表面不平坦缺陷的系统,所述系统包括:
产生具有小于约250纳米波长的照明光束的激光器;
具有在约0.15到0.5之间的部分相干西格玛的一组光学元件,所述光学元件用于将所述照明光束以在约-3到+3景深(DOF)范围内的聚焦范围聚焦到所述未图案化物体的表面;
用于将所述未图案化物体相对于照明光束移动以检验所述未图案化物体的表面的平台;
用于捕捉响应于所述照明光束而反射的经反射的光束的时间延迟积分(TDI)检测器;以及
包括至少一个存储器和至少一个处理器的计算机系统,所述计算机系统用于基于所述经反射的光束而构建结果图像并分析所述结果图像来标识表面不平坦的缺陷。
CN201080028829.2A 2009-06-19 2010-06-10 用于检测极紫外掩模基板上的缺陷的检验系统与方法 Active CN102804063B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US21886609P 2009-06-19 2009-06-19
US61/218,866 2009-06-19
US24992009P 2009-10-08 2009-10-08
US61/249,920 2009-10-08
PCT/US2010/038202 WO2010147846A2 (en) 2009-06-19 2010-06-10 Inspection systems and methods for detecting defects on extreme ultraviolet mask blanks

Publications (2)

Publication Number Publication Date
CN102804063A true CN102804063A (zh) 2012-11-28
CN102804063B CN102804063B (zh) 2015-07-15

Family

ID=43356996

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080028829.2A Active CN102804063B (zh) 2009-06-19 2010-06-10 用于检测极紫外掩模基板上的缺陷的检验系统与方法

Country Status (7)

Country Link
US (1) US8711346B2 (zh)
EP (1) EP2443651B1 (zh)
JP (2) JP6211270B2 (zh)
KR (1) KR101422256B1 (zh)
CN (1) CN102804063B (zh)
SG (1) SG176552A1 (zh)
WO (1) WO2010147846A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104838251A (zh) * 2012-11-09 2015-08-12 科磊股份有限公司 用于具有优化系统参数的光学计量的设备及方法
CN106233448A (zh) * 2014-04-15 2016-12-14 科磊股份有限公司 在晶片检验的逻辑中的图案抑制
CN106290372A (zh) * 2015-05-14 2017-01-04 南京理工大学 基于散射光偏振变化检测极紫外掩膜相位型缺陷的方法
CN106370674A (zh) * 2016-08-29 2017-02-01 武汉华星光电技术有限公司 一种玻璃基板的检测装置及检测方法
CN107148596A (zh) * 2014-09-08 2017-09-08 卡尔蔡司Smt有限责任公司 极紫外波长范围的掩模的制造方法、掩模和设备
CN108508028A (zh) * 2014-06-26 2018-09-07 科磊股份有限公司 在晶片检验期间确定定位于收集孔隙中的光学元件的配置
CN110301038A (zh) * 2017-01-05 2019-10-01 科磊股份有限公司 用于缺陷材料分类的系统及方法
CN110828294A (zh) * 2018-08-14 2020-02-21 合肥晶合集成电路有限公司 化学机械研磨设备的研磨性能检测方法
TWI749029B (zh) * 2016-07-16 2021-12-11 日商理學股份有限公司 複合檢查系統
CN117098984A (zh) * 2021-04-20 2023-11-21 科磊股份有限公司 用于投影成像系统中的临场式光化学清洁的光的光学路径耦合的系统及方法
CN117589109A (zh) * 2024-01-19 2024-02-23 上海强华实业股份有限公司 石英中心托盘制作工艺的质量检测与控制方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2443651B1 (en) * 2009-06-19 2015-08-12 KLA-Tencor Corporation Inspection systems and methods for detecting defects on extreme ultraviolet mask blanks
JP5710314B2 (ja) * 2011-02-25 2015-04-30 株式会社東芝 マスク検査方法およびその装置
US9503606B2 (en) 2011-09-28 2016-11-22 Semiconductor Components Industries, Llc Time-delay-and-integrate image sensors having variable integration times
JP6009787B2 (ja) * 2012-03-16 2016-10-19 株式会社東芝 最適撮像位置検出方法、最適撮像位置検出装置、フォトマスクの製造方法及び半導体装置の製造方法
CN103424985A (zh) * 2012-05-18 2013-12-04 中国科学院微电子研究所 极紫外光刻掩模缺陷检测系统
US8953869B2 (en) 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
FR2994605B1 (fr) * 2012-08-20 2014-08-22 Commissariat Energie Atomique Procede de fabrication de masques euv minimisant l'impact des defauts de substrat
FR3002655B1 (fr) * 2013-02-28 2016-05-13 Commissariat Energie Atomique Procede de photolithographie a double masque minimisant l'impact des defauts de substrat
US9448343B2 (en) * 2013-03-15 2016-09-20 Kla-Tencor Corporation Segmented mirror apparatus for imaging and method of using the same
CN103234913B (zh) * 2013-04-03 2015-03-25 中国科学院上海光学精密机械研究所 极紫外光刻光源收集镜污染测量装置
US9619878B2 (en) 2013-04-16 2017-04-11 Kla-Tencor Corporation Inspecting high-resolution photolithography masks
WO2014200648A2 (en) * 2013-06-14 2014-12-18 Kla-Tencor Corporation System and method for determining the position of defects on objects, coordinate measuring unit and computer program for coordinate measuring unit
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
TWI646401B (zh) * 2013-12-19 2019-01-01 美商應用材料股份有限公司 帶有簡化光學元件的極紫外線(euv)基板檢查系統及其製造方法
US9810991B2 (en) 2013-12-23 2017-11-07 Kla-Tencor Corporation System and method for cleaning EUV optical elements
CN106030405B (zh) 2014-02-21 2020-11-03 保罗·谢勒学院 使用相干衍射成像方法以及使用微型小孔和孔系统的反射模式的成像系统
KR102242559B1 (ko) 2014-12-01 2021-04-20 삼성전자주식회사 광학 검사 장치
FR3045156B1 (fr) * 2015-12-11 2017-12-22 Soitec Silicon On Insulator Procede de detection de defauts et dispositif associe
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
DE102016218433A1 (de) * 2016-09-26 2017-09-28 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Ermittlung eines Referenzbildes eines Mikroskops
JP7183155B2 (ja) 2016-11-02 2022-12-05 コーニング インコーポレイテッド 透明基板上の欠陥部検査方法および装置
WO2018085237A1 (en) 2016-11-02 2018-05-11 Corning Incorporated Method and apparatus for inspecting defects on transparent substrate and method of emitting incident light
DE102017205212A1 (de) * 2017-03-28 2018-10-04 Carl Zeiss Smt Gmbh Verfahren zum Detektieren von Partikeln an der Oberfläche eines Objekts, Wafer und Maskenblank
TWI755453B (zh) * 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US11131629B2 (en) * 2017-05-26 2021-09-28 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer
US10877370B2 (en) * 2017-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Stretchable layout design for EUV defect mitigation
DE102018202637B4 (de) * 2018-02-21 2021-09-23 Carl Zeiss Smt Gmbh Verfahren zur Bestimmung einer Fokuslage einer Lithographie-Maske und Metrologiesystem zur Durchführung eines derartigen Verfahrens
DE102018202639B4 (de) 2018-02-21 2019-11-21 Carl Zeiss Smt Gmbh Verfahren zur Bestimmung eines strukturunabhängigen Beitrags einer Lithographie-Maske zu einer Schwankung der Linienbreite
US10539884B2 (en) * 2018-02-22 2020-01-21 International Business Machines Corporation Post-lithography defect inspection using an e-beam inspection tool
US10937705B2 (en) * 2018-03-30 2021-03-02 Onto Innovation Inc. Sample inspection using topography
US10890527B2 (en) * 2018-06-28 2021-01-12 Samsung Electronics Co., Ltd. EUV mask inspection apparatus and method, and EUV mask manufacturing method including EUV mask inspection method
US11112691B2 (en) 2019-01-16 2021-09-07 Kla Corporation Inspection system with non-circular pupil
US11733605B2 (en) * 2019-06-20 2023-08-22 Kla Corporation EUV in-situ linearity calibration for TDI image sensors using test photomasks
TWI761694B (zh) * 2019-07-30 2022-04-21 由田新技股份有限公司 微粒子檢測裝置
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11557031B2 (en) 2019-11-21 2023-01-17 Kla Corporation Integrated multi-tool reticle inspection
US11768431B2 (en) * 2019-12-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fast surface particle and scratch detection for EUV mask backside
US11617256B2 (en) 2020-12-30 2023-03-28 Kla Corporation Laser and drum control for continuous generation of broadband light
US11798827B2 (en) 2021-05-06 2023-10-24 Kla Corporation Systems and methods for semiconductor adaptive testing using inline defect part average testing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727512B2 (en) * 2002-03-07 2004-04-27 Kla-Tencor Technologies Corporation Method and system for detecting phase defects in lithographic masks and semiconductor wafers
US6879406B1 (en) * 2003-10-01 2005-04-12 Advanced Micro Devices, Inc. Use of scatterometry as a control tool in the manufacture of extreme UV masks
US7072502B2 (en) * 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US20070156379A1 (en) * 2005-11-18 2007-07-05 Ashok Kulkarni Methods and systems for utilizing design data in combination with inspection data

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06103272B2 (ja) * 1988-01-21 1994-12-14 株式会社日立製作所 X線露光用マスクのマスク上異物検査方法
JPH04328549A (ja) 1991-04-26 1992-11-17 Nikon Corp フォトマスクの検査方法および装置
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
JPH11219891A (ja) * 1998-02-04 1999-08-10 Hitachi Ltd マスクの検査方法および装置
US6327033B1 (en) * 1999-06-21 2001-12-04 International Business Machines Corporation Detection of phase defects on photomasks by differential imaging
JP2002049143A (ja) * 2000-08-03 2002-02-15 Dainippon Printing Co Ltd 位相シフトマスクの欠陥検査装置
US6866971B2 (en) * 2000-09-26 2005-03-15 Synopsys, Inc. Full phase shifting mask in damascene process
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
JP3728495B2 (ja) * 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
US6646281B1 (en) 2001-12-28 2003-11-11 Kla-Tencor Corporation Differential detector coupled with defocus for improved phase defect sensitivity
WO2003096356A2 (de) 2002-05-10 2003-11-20 Carl Zeiss Smt Ag Reflektives röntgenmikroskop und inspektionssystem zur untersuchung von objekten mit wellenlängen ≤ 100nm
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US6972419B2 (en) * 2003-02-24 2005-12-06 Intel Corporation Extreme ultraviolet radiation imaging
EP2256487B1 (en) * 2003-09-04 2019-11-06 KLA-Tencor Corporation Methods for inspection of a specimen using different inspection parameters
US20050254065A1 (en) * 2004-05-12 2005-11-17 Stokowski Stanley E Method and apparatus for detecting surface characteristics on a mask blank
US7094507B2 (en) * 2004-10-29 2006-08-22 Infineon Technologies Ag Method for determining an optimal absorber stack geometry of a lithographic reflection mask
JP4751617B2 (ja) * 2005-01-21 2011-08-17 株式会社日立ハイテクノロジーズ 欠陥検査方法及びその装置
JP2007219130A (ja) * 2006-02-16 2007-08-30 Renesas Technology Corp マスクブランクの欠陥検査方法及び欠陥検査装置、並びにそれらを用いた半導体装置の製造方法
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
DE102007028172B3 (de) * 2007-06-20 2008-12-11 Advanced Mask Technology Center Gmbh & Co. Kg EUV-Maske und Verfahren zur Reparatur einer EUV-Maske
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
EP2443651B1 (en) * 2009-06-19 2015-08-12 KLA-Tencor Corporation Inspection systems and methods for detecting defects on extreme ultraviolet mask blanks
JP5659086B2 (ja) * 2011-05-30 2015-01-28 株式会社東芝 反射型マスクの欠陥修正方法
JP5816499B2 (ja) * 2011-09-12 2015-11-18 ルネサスエレクトロニクス株式会社 Euvマスクの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7072502B2 (en) * 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US6727512B2 (en) * 2002-03-07 2004-04-27 Kla-Tencor Technologies Corporation Method and system for detecting phase defects in lithographic masks and semiconductor wafers
US6879406B1 (en) * 2003-10-01 2005-04-12 Advanced Micro Devices, Inc. Use of scatterometry as a control tool in the manufacture of extreme UV masks
US20070156379A1 (en) * 2005-11-18 2007-07-05 Ashok Kulkarni Methods and systems for utilizing design data in combination with inspection data

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104838251A (zh) * 2012-11-09 2015-08-12 科磊股份有限公司 用于具有优化系统参数的光学计量的设备及方法
CN104838251B (zh) * 2012-11-09 2019-04-12 科磊股份有限公司 用于具有优化系统参数的光学计量的设备及方法
CN106233448B (zh) * 2014-04-15 2018-04-20 科磊股份有限公司 在晶片检验的逻辑中的图案抑制
CN106233448A (zh) * 2014-04-15 2016-12-14 科磊股份有限公司 在晶片检验的逻辑中的图案抑制
CN108508028B (zh) * 2014-06-26 2021-03-09 科磊股份有限公司 在晶片检验期间确定定位于收集孔隙中的光学元件的配置
CN108508028A (zh) * 2014-06-26 2018-09-07 科磊股份有限公司 在晶片检验期间确定定位于收集孔隙中的光学元件的配置
CN107148596B (zh) * 2014-09-08 2020-12-15 卡尔蔡司Smt有限责任公司 极紫外波长范围的掩模的制造方法、掩模和设备
CN107148596A (zh) * 2014-09-08 2017-09-08 卡尔蔡司Smt有限责任公司 极紫外波长范围的掩模的制造方法、掩模和设备
CN106290372B (zh) * 2015-05-14 2017-08-04 南京理工大学 基于散射光偏振变化检测极紫外掩膜相位型缺陷的方法
CN106290372A (zh) * 2015-05-14 2017-01-04 南京理工大学 基于散射光偏振变化检测极紫外掩膜相位型缺陷的方法
TWI749029B (zh) * 2016-07-16 2021-12-11 日商理學股份有限公司 複合檢查系統
CN106370674A (zh) * 2016-08-29 2017-02-01 武汉华星光电技术有限公司 一种玻璃基板的检测装置及检测方法
CN110301038B (zh) * 2017-01-05 2021-07-09 科磊股份有限公司 用于缺陷材料分类的系统及方法
TWI748034B (zh) * 2017-01-05 2021-12-01 美商克萊譚克公司 用於缺陷材料分類之系統及方法
CN110301038A (zh) * 2017-01-05 2019-10-01 科磊股份有限公司 用于缺陷材料分类的系统及方法
CN110828294A (zh) * 2018-08-14 2020-02-21 合肥晶合集成电路有限公司 化学机械研磨设备的研磨性能检测方法
CN117098984A (zh) * 2021-04-20 2023-11-21 科磊股份有限公司 用于投影成像系统中的临场式光化学清洁的光的光学路径耦合的系统及方法
CN117589109A (zh) * 2024-01-19 2024-02-23 上海强华实业股份有限公司 石英中心托盘制作工艺的质量检测与控制方法
CN117589109B (zh) * 2024-01-19 2024-03-29 上海强华实业股份有限公司 石英中心托盘制作工艺的质量检测与控制方法

Also Published As

Publication number Publication date
JP2012531042A (ja) 2012-12-06
EP2443651B1 (en) 2015-08-12
US20110181868A1 (en) 2011-07-28
SG176552A1 (en) 2012-01-30
KR101422256B1 (ko) 2014-07-22
US8711346B2 (en) 2014-04-29
WO2010147846A2 (en) 2010-12-23
JP2016145989A (ja) 2016-08-12
JP6211270B2 (ja) 2017-10-11
WO2010147846A3 (en) 2011-03-17
KR20120037949A (ko) 2012-04-20
EP2443651A2 (en) 2012-04-25
CN102804063B (zh) 2015-07-15
EP2443651A4 (en) 2014-07-30

Similar Documents

Publication Publication Date Title
CN102804063B (zh) 用于检测极紫外掩模基板上的缺陷的检验系统与方法
US20150226539A1 (en) System and method for determining the position of defects on objects, coordinate measuring unit and computer program for coordinate measuring unit
JP5132866B2 (ja) 表面検査装置および表面検査方法
JP6681576B2 (ja) 検出増強型検査システム及び技術
JP3744966B2 (ja) 半導体基板の製造方法
TWI728193B (zh) 用於極紫外光遮罩缺陷檢查之相位對比度監測
KR20180028072A (ko) Euv 이미징을 위한 장치 및 이의 이용 방법
KR20180019243A (ko) 레이저 암시야 시스템에서 반점을 억제하는 방법 및 장치
JP7134096B2 (ja) 基板検査方法、装置及びシステム
JP7427763B2 (ja) 光学的表面欠陥物質特性評価のための方法およびシステム
JP2006098154A (ja) 欠陥検査方法およびその装置
KR101356372B1 (ko) 향상된 결함 스캐닝
US7599051B1 (en) Calibration of a substrate inspection tool
TW201531798A (zh) 極紫外光(euv)檢測系統
JP2018120211A (ja) フォトマスクブランクの欠陥検査方法、選別方法及び製造方法
JP2001524215A (ja) ガウス・ビーム分布を使用した表面解析
JP5581563B2 (ja) 照明装置並びにそれを用いた欠陥検査装置及びその方法並びに高さ計測装置及びその方法
KR20030011919A (ko) 어댑티브 공간 필터를 갖는 광학 검사 방법 및 광학 검사장치
JP4444984B2 (ja) レチクル欠陥検査装置およびこれを用いた検査方法
JP4124747B2 (ja) 微細構造検査装置及び微細構造検査方法
Ekinci et al. Scanning coherent scattering methods for actinic EUV mask inspection
JP4518704B2 (ja) 位相シフトマスク検査装置及び位相シフトマスク検査方法
JP2005003689A (ja) 被検査対象物上のパターンの欠陥検査方法及びその装置
KR20200001950A (ko) Euv 마스크 검사 장치와 방법, 및 그 방법을 포함한 euv 마스크 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant