CN102598219A - 处理有非平坦表面的基材的方法 - Google Patents

处理有非平坦表面的基材的方法 Download PDF

Info

Publication number
CN102598219A
CN102598219A CN2010800460984A CN201080046098A CN102598219A CN 102598219 A CN102598219 A CN 102598219A CN 2010800460984 A CN2010800460984 A CN 2010800460984A CN 201080046098 A CN201080046098 A CN 201080046098A CN 102598219 A CN102598219 A CN 102598219A
Authority
CN
China
Prior art keywords
base material
film
processing
horizontal surface
material according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800460984A
Other languages
English (en)
Other versions
CN102598219B (zh
Inventor
乔治·D·帕帕守尔艾迪斯
维克拉姆·辛
尹赫云
海伦·L·梅纳德
卢多维克·葛特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN102598219A publication Critical patent/CN102598219A/zh
Application granted granted Critical
Publication of CN102598219B publication Critical patent/CN102598219B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Plasma Technology (AREA)

Abstract

揭示一种处理具有水平表面以及非水平表面的基材的方法。藉由采用离子植入器,将粒子植入到基材中。在离子植入期间,由于植入处理的性质,可以在表面上沉积薄膜,其中水平表面上的薄膜的厚度较厚。薄膜的出现可能逆向改变基材的特性。为了对此情况进行更正,执行第二处理步骤来移除沉积在水平表面上的薄膜。在一些实施例中,蚀刻处理被用于移除此薄膜。在一些实施例中,材料改性步骤被用于改变组成薄膜的材料的组成物。材料改性步骤可以替代蚀刻处理,或者作为蚀刻处理的附加步骤。

Description

处理有非平坦表面的基材的方法
技术领域
本揭示是有关于一种处理具有非平坦表面的基材的方法。
背景技术
在制造电子装置中,需要处理具有非平坦表面的基材。这样的装置的示例包括三维(three dimensional,3D)鳍式场效电晶体(FinFET)以及CMOS影像感测器(CMOS image sensor,CIS)和嵌入式动态随机存取存储器(embedded DRAM,eDRAM),其中三维FinFET具有水平方向表面和垂直方向表面的凸出鳍状物(raised fin),以及CMOS影像感测器和eDRAM的每一个都具有带有水平和垂直方向表面的沟槽(trench)。用于处理这些基材的技术的其中之一可以包括掺杂以修改(modify)原基材的电学特性、机械特性、光学特性、热特性或者这些特性的结合。FinFET的源极/汲极(source/drain,SD)区域、CMOS影像感测器的浅沟槽(shallow trench)的侧壁(sidewall)以及eDRAM的深沟槽(deep trench,DT)的侧壁可以被掺杂以修改基材的特性。
由于电子装置需要一致的特性,从而需要一致地处理不同角度方向上的表面的技术。在掺杂方法中,例如需要在不同方向表面附近的区域中获得相等的或者实质上相等的掺杂剂浓度。尽管已经提出了多种技术,但所提出的这些技术只是取得了有限的成功。例如,在这些所提到的技术中,沿着水平方向延伸的表面的掺杂剂浓度远大于沿着垂直方向延伸的表面的掺杂剂浓度。在处理中的这种变化可能导致基材具有非一致的特性,而最后的装置将不能理想地操作。此外,一些处理过程也会在基材上沉积(deposit)材料,从而位于水平方向延伸的表面上的已沉积的材料多于位于垂直方向延伸的表面上的已沉积的材料。因此,需要一种新的技术。
发明内容
揭示一种处理具有水平表面以及非水平表面的基材的方法。藉由采用离子植入,将粒子(particle)植入到基材中。紧随离子植入或者与离子植入同时,由于植入处理的性质,可以在表面上沉积薄膜(film),其中水平表面上的薄膜的厚度较厚。薄膜的出现可能逆向改变(adverselyalter)基材的特性。为了对此情况进行更正,执行第二处理步骤来移除沉积在水平表面上的薄膜。在一些实施例中,蚀刻处理被用于移除此薄膜。在一些实施例中,材料改性步骤(material modifying step)被用于改变组成薄膜的材料的组成物。材料改性步骤可以替代蚀刻处理,或者作为蚀刻处理的附加步骤。
附图说明
于下述的具体实施方式中,依较佳及例示性的实施例及其进一步优点,并结合随附图式而更具体地对本发明进行说明。随附图式不需依实际尺寸绘示,通常为了图解本发明的原理而予以标识强调。
图1a至图1c是根据一实施例的处理具有非平坦表面的基材的方法的示意图。
图2是代表性的PLAD系统的示意图。
图3是代表性的射束线(beam-line)离子植入系统的示意图。
具体实施方式
在本揭示中,介绍了用于处理具有非平坦表面的基材的新方法的几种实施例。为了清楚说明目的,在介绍本揭示的时候,上下文中提到了“粒子(particles)”。此粒子可以是处理基材的带电的或者中性的(neutral)次原子(sub-atomic)粒子、原子(atomic)粒子以及分子(molecular)粒子。在此,基材可以是金属(metallic)基材、半导体基材或绝缘基材,或者这些基材的结合。基材可以是非平坦的,而具有一个或者多个沿着垂直方向延伸的突出部分(protrusion)或者沟槽、一个或者多个水平延伸表面以及一个或者多个垂直延伸表面。在其它的实施例中,基材可以是非平坦的,其中一个或者多个表面不是水平延伸的。
为了说明的清楚以及简化,处理非平坦的基材的方法的说明是有关于基于等离子体的系统,诸如等离子体辅助掺杂(plasma assisted doping,PLAD)处理系统以及等离子体浸渍离子植入(plasma immersion ionimplantation,PIII)处理系统。然而,其他的系统也不排除在本揭示的保护范围之外。其他的系统的实例可以包括射束线(beam-line)离子植入系统、泄注植入系统(flood implant system)以及具有等离子体鞘修改器(plasma sheath modifier)的离子源。
用于处理具有非平坦表面的基材的方法
请参看图1a至图1c,显示了根据本揭示的一个实施例的处理具有非平坦表面的基材的方法。在本实施例中,基材100可以是具有沿着垂直方向延伸或者沿着非水平方向延伸的突出部分的基材。例如,基材100可以是包括一个或者多个垂直延伸的鳍状物102的FinFET。如图1a所示,突出部分或者鳍状物102可以包括垂直延伸的表面104(“垂直表面104”)以及水平延伸的表面106(“水平表面106”),此垂直表面104位于鳍状物102的侧面的附近,以及此水平表面106位于鳍状物102的顶部的附近。尽管本揭示的整个说明书中都提到了词汇“垂直”,但是应当知晓的是,文中所述的原则适用于具有水平表面以及非水平表面的基材,其中非水平表面可以是垂直的也可以不是垂直的。
为了改变鳍状物102的一个或者多个特性(例如,电学、机械和/或光学特性),可以引入能够改变特性的不同物种的掺杂剂。例如,如果基材是基于硅的基材,则掺杂剂可以包含硼(boron)、碳(carbon)、镓(gallium)、锗(germanium)、磷(phosphorous)、砷(arsenic)和/或它们的结合。然而,其他类型的掺杂剂也不排除在本揭示的保护范围之外。在另一实施例中,可以引入包含与鳍状物102相同的物种的粒子110。例如,硅或者包含硅的粒子也可以被引入到包含硅的鳍状物102中。
在此实施例中,可以经由诸如PLAD或者PIII处理之类的离子植入处理而引入掺杂剂110。然而,其他类型的离子植入处理或者其他类型的粒子引入处理也不排除在本揭示的保护范围之外。例如,用于引入掺杂剂的扩散(diffusion)处理并不排除在本揭示的保护范围之外。在PLAD或者PIII处理中,包含掺杂剂物种的进料气(feed gas)被引入到基材100的附近。进料气接着被激发(excite)以形成包含进料气的碎体(fragment)的等离子体(图未显示)。碎体尤其可以包括掺杂剂物种或者其它物种的电子、原子或分子离子,以及掺杂剂物种或者其他物种的中性粒子以及基(radical)。因此,可以施加偏压到基材以从等离子体吸引(attract)离子化的掺杂剂。在本实施例中,掺杂剂110可以以0°(即,与基材100垂直的虚轴(imaginary axis)成0°)或者实质上0°来被引入到基材中。然而,本揭示也可以包括其他的角度或角度范围,例如7°、15°、30°、45°、60°,或者0°-90°范围之间的任何其他角度。
如图1b所示,等离子体的掺杂剂110可以被植入到鳍状物102中以形成植入区域112。优选的是,垂直的植入区域112b所植入的掺杂剂的数量等于水平的植入区域112a所植入的掺杂剂的数量。此外,包含掺杂剂物种的薄膜114可以被形成在水平表面106以及垂直表面104上的鳍状物的表面上。
由于等离子体的反应性中性碎体或者基碎体(radical fragment)位于水平表面106以及垂直表面104附近,且发生化学反应,可以形成薄膜114。薄膜也可以是将粒子沉积到基材上的结果。由于PLAD或者PIII的视线(line-of-sight)、定向属性,因此更多的碎体可以位于鳍状物102的顶部的附近以及位于水平表面的附近。形成时,鳍状物102的顶部的附近的薄膜114的厚度大于鳍状物102的侧面部分附近的薄膜114的厚度。从而,鳍状物102的顶部附近包含了过量的掺杂剂。
在本揭示中,理想的情况是使得鳍状物102的一个或者多个特性是一致的。例如,理想的情况是使得鳍状物102的顶部以及侧面部分附近所包含的掺杂剂的剂量实质上是一致的。为了获得一致的或者实质上一致的掺杂剂剂量,可以选择性地移除鳍状物102的顶部的过量的掺杂剂。
为了移除过量的掺杂剂,可以执行各种类型的蚀刻处理。例如,可以执行溅射处理(sputtering process)。在溅射处理中,在基材100的附近使惰性气体(钝气)(inert(noble)gas)、氢气或者惰性气体和氢气的混合气体离子化。藉由足够的动能(kinetic energy),已生成的离子接着可以被导向鳍状物102以溅射过量的掺杂剂,例如是藉由施加偏压于基材来将离子吸引到鳍状物102。在本实施例中,理想的情况是控制粒子的入射角。存在几种方法来控制粒子的入射角。在一些实施例中,可以使用等离子体鞘修改器。在另一些实施例中,可以使基材100相对于离子来倾斜(tilt)。在PLAD或者射束线植入器中可以这样做。在另一些实施例中,可以使用更高的压力来更正粒子的入射角。将粒子定位在宽的入射角范围可以导致更大的溅射率。同时,可以限制撞击(knock-on)植入,在此撞击植入处理中,藉由入射离子,薄膜114中的过量的掺杂剂可以被驱赶到鳍状物102中。此外,改变粒子的入射角可以更好地控制鳍状物102的顶部以及侧面部分的溅射。
作为溅射处理的替代,或者除了溅射处理,经由化学蚀刻处理也可以移除过量的掺杂剂。在此实施例中,惰性气体或者包含诸如氢、氟(fluorine)或者氯(chlorine)离子之类的反应性物种的反应性气体(reactive gas)可以在鳍状物102附近被离子化。反应性物种接着可以进行化学反应以及选择性地蚀刻鳍状物102的顶部的过量掺杂剂。在一个实施例中,以相较于上述的溅射处理的能量较低的能量,将已离子化的反应性物种导向基材100。例如,当包含反应性物种的气体被离子化的时候,可以施加较低的偏压到基材上。在另一实施例中,当包含反应性物种的气体被离子化的时候,没有偏压的电压被施加到基材上。
在另一实施例中,湿蚀刻(wet etching)处理也可以被用于选择性地移除过量的掺杂剂。例如,食人鱼剥除(piranha strip)(硫酸(sulfuricacid)以及过氧化氢(hydrogen peroxide))或者缓冲氢氟酸(bufferedhydrofluoric acid)可以被用于移除过量的掺杂剂。
在另一实施例中,水平表面106上的层经化学修改(例如,经氧化),从而在湿蚀刻处理(等向性(isotropic)或者其它)中,其优先与蚀刻化学物进行反应。缓冲的HF、去离子(DI)水、H2SO4/H2O2混合物是能够被应用于此处理的化学物中的一些。
若需要,藉由将下列可选择的材料改性处理合并到移除处理中,可以增强移除过量的掺杂剂的效果。在此可选择的处理中,薄膜114的顶部优选为暴露于气体中,薄膜114可以与此气体进行化学反应。例如,包含氧气的气体或者包含氮气的气体可以被用于分别使得薄膜114经历氧化或者氮化反应。作为替代,可以使用其它的材料改性气体。在蚀刻或者溅射处理期间,此气体可以与薄膜114进行化学反应以形成可以优先被蚀刻的材料。作为替代,包含氧气的气体、包含氮气的气体或者其它的材料改性气体可以被激发以形成等离子体,以及基材可以被暴露于等离子体。
在其它实施例中,在蚀刻处理之后可以执行钝化(passivation)步骤。例如,在PH3或者AsH3植入的情况下,在室温下可以发生As或者P的脱气(outgassing)。为了避免散发这些气体,需要钝化步骤。
如图1c所示,在优先移除过量的掺杂剂之后,鳍状物102的顶部以及侧面部分附近的掺杂剂的剂量可以是一致的或者实质上是一致的。可以共形地(conformally)处理包含非平坦表面的基材100。
上述的技术只是一个示例实施例。在另一实施例中,此技术可以包括一个或者多个植入处理以及一个或者多个移除处理,以及可以重复植入处理以及移除处理中的至少一个。此外,处理的次序并非限定于特定的次序。例如,此技术可以包括多个植入处理以及移除处理,以及移除处理的其中之一可以跟随在另一个移除处理之后。
在本揭示中,植入处理以及移除处理中的每一个都可以具有各种处理参数。可以最佳化这些参数来强化此技术。可以被最佳化以强化此技术的参数例如可以包括:执行此技术的压力;在基材100附近被引入的包括进料气、稀释气体(diluent gas)以及蚀刻气体(etching gas)的气体组成物;在植入处理或者移除处理期间被施加到等离子体源以生成等离子体的RF功率的类型和振幅(例如,多个脉冲RF功率,其中一个脉冲具有更高的振幅);以及被施加到基材的偏压的特征(例如,电压斜面(voltage ramping)、工作因子(duty factor)等等)。在一些实施例中,理想的情况是,藉由生成高压等离子体来执行植入处理。在一些实施例中,基材温度被控制在-150°与600°之间。
具体的示例实施例
在下文中,公开了一种具有特定处理参数的技术的具体实例。如上所述,本揭示并非限定于一组特定的处理参数。本揭示的技术可以具有各种处理参数。为了处理动态随机存取存储器(dynamic random accessmemory,DRAM)电容器结构(图未显示)的顶部和侧面部分,可在高能量下(例如,10kv或者更高)执行离子植入处理。在此实例中,此结构具有一个或者多个100nm的开口(opening)(例如,垂直延伸的突出部分之间的空间)以及4μm的深度(例如,突出部分的高度)。此时,掺杂剂可以是砷(arsenic)。如上所述,藉由PLAD或者PIII系统可以执行植入处理。作为选择,藉由射束线离子植入处理系统可以执行离子植入处理。在离子植入处理期间,具有足够能量的离子形式的掺杂剂可以以浅角度(shallow angle)来撞击(impinge)到突出部分的侧壁(例如,垂直延伸表面)上。撞击离子的一部分可以从侧壁弹回(bounce),并植入到沟槽或者突出部分的整个深度。
在执行植入处理之后,可以执行移除处理。在此实例中,移除处理可以包括可选择的材料改性处理。例如,此结构可以被暴露在直接式氧等离子体(direct oxygen plasma)。氧气可以氧化已沉积的薄膜以及降低薄膜的挥发性(volatility),以利于进一步的移除处理。
在此实例中,可以在单个PLAD或者PIII系统中执行植入处理以及移除处理。作为替代,可以在组合设备(cluster tool)中的不同腔室中执行每一处理。在另一实例中,假定存在防止基材与周围环境发生反应的机构,则此技术可以在一系列的不同设备(例如,群集或者不同的设备)中被执行。
用于处理具有非平坦表面的基材的系统
在下文中,将揭示处理具有非平坦表面的基材的系统的各个实例。请参看图2,显示了PLAD系统。在此所揭示的系统200可以是单独的系统。作为替代,系统200也可以是组合设备的一部分,此组合设备包括一个或者多个系统200、一个或者多个基材监视系统、一个或者多个其他类型的基材处理系统以及一个或者多个用于在不同的系统之间传送基材的传送系统。
系统200可以包括处理室202,其能够生成以及以高压或者低压等离子体来处理基材100。为了生成高压或者低压等离子体,系统200可以包括涡轮泵(turbo pump)206和机械泵(mechanical pump)208中的至少一个,以及其他必需的真空密封组件(vacuum sealing component)。在处理室202中,存在平台(platen)210,其支撑至少一个基材100。平台210可以配置有一个或者多个温度管理元件以调整和维持基材100的温度,诸如在10℃-600℃之间。也可以倾斜或旋转基材100。偏压源(bias source)可以电性耦合至平台210,从而电性耦合至基材100,从而施加偏压给基材100。藉由提供连续的或者脉冲式的RF或者DC电流来施加偏压。如果偏压源提供RF电流,则可以在偏压源以及平台210之间提供阻抗匹配网络(impedance matching network)(图未显示)。在本实施例中,在操作期间,偏压源能够调整和改变被施加到基材的偏压。例如,在操作期间,来自于偏压源的偏压可以连续地或者按步骤地拉升或者降低被施加到基材的偏压。
处理室202也可以被配置有一个或者多个原位(in situ)监视系统。例如,处理系统202可以包括一个或者多个温度监视系统,以监视处理室202和/或基材100中的温度。
系统200也可以包括等离子体室204,其可以耦合到处理室202;或者与处理室202分离,从而远离处理室202。等离子体室也可以包括等离子体源212,其用于生成高密度或者低密度的等离子体。例如,等离子体室204可以包括感应耦合等离子体(inductively coupled plasma,ICP)源、电容耦合等离子体(capacitively coupled plasma,CCP)源、微波(microwave,MV)源、辉光放电(glow-discharge,GD)源、或者螺旋源(helicon source)或者它们的结合。如果等离子体室204配置有ICP源,则系统200可以包括平面线圈(planar coil)212a和螺旋线圈(helicalcoil)212b中的至少一个;电源212c,其电性耦合到平面线圈212a和螺旋线圈212b中的一个或者两个;以及阻抗匹配网络212d。如果系统200配置有CCP源,则系统200可以包括至少一个电极(图未显示),以使基材202位于电极以及平台210之间。电源212c也可以电性耦合到电极以及平台210。此外,电源212c也可以耦合到阻抗匹配网络212d。如果系统200被配置有GD源,系统200可以包括至少一个电极(图未显示),以使基材202位于电极以及平台210之间。此外,电源可以电性耦合到电极以及平台210。
根据等离子体室212的类型,电源可以是RF电源或者DC电源。例如,如果等离子体源212是ICP源或者CCP源,则电源可以是RF电源。然而,如果等离子体源212是GD源,则电源212可以是DC电源。如果等离子体源是CCP源,则电源212c可以提供30MHz至200MHz范围之间的高频RF电流。然而,也可以使用具有其它频率的RF电流。如果等离子体源212是ICP源,则电源212c所提供的RF电流位于1MHz至30MHz范围之内。然而,也可以使用具有其它频率的RF电流。如果等离子体源212是MW源,则RF电流位于.3GHz至300GHz范围之内。然而,也可以使用具有其它频率的RF电流。电源212可以提供连续的或者脉冲式的电流。
在一个实施例中,施加到等离子体源212的功率例如可以是恒定的连续波(continuous wave)。在另一实施例中,变化的功率可被施加到等离子体源212。例如,两个或者多个脉冲可以被施加到等离子体源,其中一个脉冲的振幅大于另一脉冲的振幅。此实施例的详细的描述可以参看美国专利申请案第11/771,190号、第12/098,781号以及第12/105,721号,这些专利申请案所揭示的内容皆完整结合于本说明书中。
在一个实施例中,提供功率给等离子体源(例如,线圈或者电极)的电源212c也可以是提供偏压给平台210的偏压源。例如,系统200可以包括单个电源以启动平台以及至少一个线圈(或者电极)。然而,系统200优选为包括两个或者两个以上电源,其中至少一个电源启动等离子体源的线圈或者电极,以及至少另一个电源启动处理室中的平台。尽管图未显示,系统200可以包括一个或者多个掺杂剂、蚀刻剂(etchant)和/或溅射源。
如上所述,在此所述的系统200可以是单独的系统200。作为替代,系统200可以是组合设备的一部分,此组合设备包括一个或者多个处理和/或监视系统。如果系统200是组合设备的一部分,则组合设备可以包括传送机构,以传送基材到各种处理和/或监视系统,或者从各种处理和/或监视系统传送基材,以依序执行各种处理而没有将基材引入到外部大气中。
请参看图3,显示了用于处理具有非平坦表面的基材的射束线离子植入器。离子植入器可以包括用于生成离子的离子源302。离子植入器300也可以包括一系列的射束线组件。射束线组件的实例可以包括萃取电极(extraction electrode)304、磁性质量分析器(magnetic mass analyzer)306、多个透镜308以及射束平行器(beam parallelizer)310。离子植入器300也可以包括平台316,其用于支撑待处理的基材100。同时,藉由通常被称为“转动平台(roplat)”的组件(图未显示),可以在一个或者多个方向移动基材100(例如,传送、旋转以及倾斜)。
在操作中,从离子源302生成以及萃取所需的物种的离子,诸如掺杂剂离子。接着,已萃取的离子30以射束式的状态沿着射束线组件传送以及被植入到基材100中。类似于操作光束的一系列的光学透镜,射束线组件操作离子束30。藉由射束线组件来操作的离子束30被导向基材。
在本揭示中,可以用各种类型的系统处理基材100。在一个实施例中,可以用相同类型的系统执行引入掺杂剂110以及移除过量的掺杂剂110的步骤。例如,PLAD系统200可以被用于执行掺杂剂植入以及过量的掺杂剂移除的方法。在另一实施例中,射束线离子植入系统可以被用于执行掺杂剂植入方法以及过量的掺杂剂移除方法。又在另一实施例中,PLAD系统200可以被用于执行掺杂剂植入方法以及过量的掺杂剂移除方法中的一个方法,以及射束线离子植入系统可以被用于执行另一方法。如果相同类型的系统被用于执行掺杂剂植入方法以及过量的掺杂剂移除方法,本揭示并不排除使用相同的系统或者不同的系统来执行掺杂剂植入方法以及过量的掺杂剂移除方法。
在本揭示中,提供了用于处理具有非平坦表面的基材的新方法。在此使用的术语和表述仅仅是用于描述本发明,并非用于限定本发明的保护范围,这些术语和表述并非用以排除在此所描述的以及所显示的特征的任何相等物(或其部分)。任何所属技术领域的技术人员,在不脱离本发明的精神和范围内,当可作适当的改动和同等替换,故本发明的保护范围应当以本申请权利要求所界定的范围为准。因此,上述的描述在于说明本发明的实例,而不是用于限定本发明。

Claims (18)

1.一种处理基材的方法,所述基材具有水平表面以及非水平表面,其特征在于,所述方法包括:
将理想的数量的离子引入到所述基材中,其中在所述引入期间,在所述水平表面上沉积薄膜;以及
藉由采用蚀刻处理以将所述薄膜从所述水平表面移除。
2.根据权利要求1所述的处理基材的方法,其特征在于,在所述非水平表面上沉积所述薄膜,所述水平表面上的所述薄膜的厚度大于所述非水平表面上的所述薄膜的厚度。
3.根据权利要求1所述的处理基材的方法,其特征在于,还包括在采用所述蚀刻处理之前,执行材料改性步骤以修改所述薄膜的组成物。
4.根据权利要求3所述的处理基材的方法,其特征在于,所述材料改性步骤包括氧化作用、氮化作用或者与气态物种进行反应。
5.根据权利要求1所述的处理基材的方法,其特征在于,所述蚀刻处理包括溅射蚀刻。
6.根据权利要求5所述的处理基材的方法,其特征在于,所述溅射蚀刻处理使用惰性气体、氢气或者惰性气体和氢气的结合。
7.根据权利要求5所述的处理基材的方法,其特征在于,藉由采用各种角度植入来执行所述溅射蚀刻处理。
8.根据权利要求1所述的处理基材的方法,其特征在于,所述蚀刻处理包括将所述薄膜暴露于包括氢气的等离子体中。
9.根据权利要求1所述的处理基材的方法,其特征在于,在单一腔室中执行所述引入以及蚀刻步骤。
10.根据权利要求1所述的处理基材的方法,其特征在于,在不同的腔室中执行所述引入以及蚀刻步骤。
11.一种处理基材的方法,所述基材具有水平表面以及非水平表面,其特征在于,所述方法包括:
将理想的数量的离子引入到所述基材中,其中在所述引入期间,在所述水平表面上沉积薄膜;以及
执行材料改性步骤以修改所述薄膜的组成物。
12.根据权利要求11所述的处理基材的方法,其特征在于,在所述非水平表面上沉积所述薄膜,所述水平表面上的所述薄膜的厚度大于所述非水平表面上的所述薄膜的厚度。
13.根据权利要求11所述的处理基材的方法,其特征在于,还包括在所述材料改性步骤之后,使用蚀刻处理来将所述薄膜从所述水平表面上移除。
14.根据权利要求11所述的处理基材的方法,其特征在于,所述材料改性步骤包括氧化作用、氮化作用或者与气态物种进行反应。
15.根据权利要求13所述的处理基材的方法,其特征在于,所述蚀刻处理包括溅射蚀刻。
16.根据权利要求15所述的处理基材的方法,其特征在于,所述溅射蚀刻处理使用惰性气体、氢气或者惰性气体和氢气的结合。
17.根据权利要求15所述的处理基材的方法,其特征在于,藉由采用各种角度植入来执行所述溅射蚀刻处理。
18.根据权利要求13所述的处理基材的方法,其特征在于,所述蚀刻处理包括将所述薄膜暴露于包括氢气的等离子体中。
CN201080046098.4A 2009-10-14 2010-10-14 处理有非平坦表面的基材的方法 Active CN102598219B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US25144209P 2009-10-14 2009-10-14
US61/251,442 2009-10-14
US12/902,250 US8679960B2 (en) 2009-10-14 2010-10-12 Technique for processing a substrate having a non-planar surface
US12/902,250 2010-10-12
PCT/US2010/052654 WO2011047142A2 (en) 2009-10-14 2010-10-14 A technique for processing a substrate having a non-planar surface

Publications (2)

Publication Number Publication Date
CN102598219A true CN102598219A (zh) 2012-07-18
CN102598219B CN102598219B (zh) 2015-05-13

Family

ID=43855175

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080046098.4A Active CN102598219B (zh) 2009-10-14 2010-10-14 处理有非平坦表面的基材的方法

Country Status (6)

Country Link
US (1) US8679960B2 (zh)
JP (1) JP2013508949A (zh)
KR (1) KR101545221B1 (zh)
CN (1) CN102598219B (zh)
TW (1) TWI480932B (zh)
WO (1) WO2011047142A2 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US9142402B2 (en) 2011-11-30 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Uniform shallow trench isolation regions and the method of forming the same
US9142548B2 (en) * 2012-09-04 2015-09-22 Qualcomm Incorporated FinFET compatible capacitor circuit
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
KR102175854B1 (ko) * 2013-11-14 2020-11-09 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
KR102342328B1 (ko) * 2014-07-03 2021-12-21 어플라이드 머티어리얼스, 인코포레이티드 선택적인 증착을 위한 방법 및 장치
TWI523084B (zh) 2014-11-11 2016-02-21 漢辰科技股份有限公司 離子佈植法
CN106033715B (zh) * 2015-03-11 2019-03-22 上海临港凯世通半导体有限公司 FinFET的掺杂方法
KR101972365B1 (ko) * 2015-01-08 2019-04-25 상하이 킹스톤 세미컨덕터 코포레이션 핀펫의 도핑 방법
CN106033728B (zh) * 2015-03-11 2019-07-09 上海凯世通半导体股份有限公司 FinFET的掺杂方法
TWI567795B (zh) * 2015-01-08 2017-01-21 上海凱世通半導體有限公司 鰭式場效電晶體的摻雜方法
CN106033729B (zh) * 2015-03-11 2019-04-02 上海凯世通半导体股份有限公司 FinFET的掺杂方法
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US10566242B2 (en) * 2016-12-13 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Minimization of plasma doping induced fin height loss

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004013371A2 (en) * 2002-08-02 2004-02-12 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
CN101015041A (zh) * 2004-06-23 2007-08-08 瓦里安半导体设备联合公司 用于等离子体注入的蚀刻和沉积控制

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3676317A (en) * 1970-10-23 1972-07-11 Stromberg Datagraphix Inc Sputter etching process
US6228750B1 (en) * 1994-12-30 2001-05-08 Lucent Technologies Method of doping a semiconductor surface
US7098098B2 (en) * 2002-04-16 2006-08-29 Texas Instruments Incorporated Methods for transistors formation using selective gate implantation
KR100607198B1 (ko) * 2005-02-21 2006-08-01 삼성전자주식회사 반도체소자의 트렌치 소자 분리 방법
US7537989B2 (en) * 2005-11-18 2009-05-26 Sumco Corporation Method for manufacturing SOI substrate
US7892723B2 (en) * 2007-11-14 2011-02-22 United Microelectronics Corp. Method for forming patterned photoresist layer
US8202792B2 (en) * 2009-04-24 2012-06-19 Varian Semiconductor Equipment Associates, Inc. Method of processing a substrate having a non-planar surface
DE102010045095B4 (de) 2010-09-13 2015-01-08 Schott Ag Spritzenkörper-Kanülen-Verbund und Verfahren zu dessen Herstellung
US10572108B2 (en) 2017-07-20 2020-02-25 Vmware, Inc. Hierarchical inventory tree operation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004013371A2 (en) * 2002-08-02 2004-02-12 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct
CN101015041A (zh) * 2004-06-23 2007-08-08 瓦里安半导体设备联合公司 用于等离子体注入的蚀刻和沉积控制
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Also Published As

Publication number Publication date
US20110086501A1 (en) 2011-04-14
KR101545221B1 (ko) 2015-08-18
TWI480932B (zh) 2015-04-11
CN102598219B (zh) 2015-05-13
WO2011047142A3 (en) 2011-06-09
JP2013508949A (ja) 2013-03-07
WO2011047142A2 (en) 2011-04-21
TW201123274A (en) 2011-07-01
US8679960B2 (en) 2014-03-25
KR20120103577A (ko) 2012-09-19

Similar Documents

Publication Publication Date Title
CN102598219B (zh) 处理有非平坦表面的基材的方法
US7524743B2 (en) Conformal doping apparatus and method
US8507372B2 (en) Method for processing a substrate having a non-planar substrate surface
KR20200053623A (ko) 고 에너지 ale (atomic layer etching)
US7397048B2 (en) Technique for boron implantation
US8187979B2 (en) Workpiece patterning with plasma sheath modulation
TWI437608B (zh) 離子源清潔方法及其裝置
US20110256691A1 (en) Removal of surface dopants from a substrate
US20070084564A1 (en) Conformal doping apparatus and method
US8129261B2 (en) Conformal doping in P3I chamber
JP2012505550A (ja) 分子イオンのイオン注入技術
US20100112794A1 (en) Doping profile modification in p3i process
US6504159B1 (en) SOI plasma source ion implantation
JP5652771B2 (ja) 分子イオンを生成する方法および装置
KR20230035057A (ko) 염소계 이온 소스 재료를 사용할 때 수소 공동-가스
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process
US11721530B2 (en) System for controlling radicals using a radical filter
US9437432B1 (en) Self-compensating oxide layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant