CN102187741B - 等离子体处理腔室的下电极组件 - Google Patents

等离子体处理腔室的下电极组件 Download PDF

Info

Publication number
CN102187741B
CN102187741B CN200980141249.1A CN200980141249A CN102187741B CN 102187741 B CN102187741 B CN 102187741B CN 200980141249 A CN200980141249 A CN 200980141249A CN 102187741 B CN102187741 B CN 102187741B
Authority
CN
China
Prior art keywords
edge ring
ring
electrode assembly
lower limb
bottom electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980141249.1A
Other languages
English (en)
Other versions
CN102187741A (zh
Inventor
贾森·奥古斯蒂诺
康·召
基思·威廉·加夫
汉·通·哈
布雷特·C·理查森
哈梅特·辛格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102187741A publication Critical patent/CN102187741A/zh
Application granted granted Critical
Publication of CN102187741B publication Critical patent/CN102187741B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

用于在等离子体处理腔室中使用的下电极组件,包括金属底座和上边缘环和下边缘环。所述金属底座包括焊接在一起的且在所述底座的下侧面形成焊接线的各金属板,从下侧面水平向内延伸的边缘环支架表面和在所述边缘环支架表面上方的上侧面。所述上边缘环包括安装在所述边缘环支架表面的下表面,且所述下边缘环环绕所述底座的下侧面,在所述上边缘环和所述下边缘环的相对表面之间以及在所述下边缘环和所述底座的外部边缘之间具有间隙。所述间隙具有足够的总间隙长度比平均间隙宽度的长宽比,以阻止在所述焊接线的位置上形成电弧。

Description

等离子体处理腔室的下电极组件
相关申请的交叉引用
本申请根据35U.S.C.§119要求于2008年10月31日提交的申请号为61/193,151的美国临时申请为优先权基础,该美国临时申请的全部内容在此通过引用的方式合并入本文中。
背景技术
在以下的说明书中,引用了一些结构和方法,然而,在适用的法律规定下,所述引用将不一定被解释为承认这些结构和方法具有现有技术的资格。申请人保留权利证明任意引用的主题不构成现有技术。
在导体(金属)加工领域,通常采用等离子体处理腔室以蚀刻在基底上形成的一个或一个以上的层。在蚀刻过程中,所述基底被支撑在腔室内的基底支架表面上。基底支架可包括设置在基底支架周围(即,基底周围)的边缘环,所述边缘环用于将等离子体限制在位于基底之上的体积和/或以保护所述基底支架不受等离子体的腐蚀,所述基底支架通常包括夹紧机构。所述边缘环,有时亦称为焦点环,可为牺牲的部分(即消耗性部分)。在共同拥有的专利号为5,805,408、5,998,932、6,013,984、6,039,836和6,383,931的美国专利中公开了导电的和不导电的边缘环。
在等离子体蚀刻过程中,在低压通过向气体(或气体混合物)施加大量的能量而在基底的表面上方形成等离子体。所述等离子体可包括具有高动能的离子、游离自由基和不带电物质。通过调节基底的电势,在等离子体中的带电物质可被定向以冲击基底的表面,从而从其上除去材料(例如原子)。
发明内容
用于在等离子体处理腔室中使用的下电极组件,包括金属底座和上边缘环和下边缘环。所述金属底座包括焊接在一起的且在所述底座的下侧面形成焊接线的各金属板,从下侧面水平向内延伸的边缘环支架表面和在边缘环支架表面上方的上侧面。所述上边缘环包括安装在所述边缘环支架表面的下表面,且所述下边缘环环绕所述底座的下侧面,在所述上边缘环和下边缘环的相对表面之间以及在所述下边缘环和所述底座的外部边缘之间具有间隙。所述间隙具有足够的总间隙长度比平均间隙宽度的长宽比,以阻止在所述焊接线的位置上形成电弧。
附图说明
图1为传统的等离子体处理装置的示意图。
图2为比较的下电极组件的示意图。
图3是图2中所示的底板的横截面示意图。
图4显示图2中所示的组件的上边缘环和下边缘环的细节。
图5显示根据优选的实施方案的曲径式边缘环组件的细节。
图6A-F显示曲径式边缘环组件的实施方案。
具体实施方式
等离子体腔室通常用于通过向所述腔室供应包含有一种或一种以上气体的蚀刻气体、并向所述蚀刻气体施加能量以激发所述气体成等离子体状态而蚀刻在基底上的各材料层。已熟知各种等离子体腔室设计,其中可采用射频(RF)能量、微波能量和/或磁场来产生和保持中等密度或高密度等离子体。
在所述等离子体处理腔室中,可通过适当的装置例如喷淋头电极(showerhead electrode)或者气体注入系统来供应处理气体,且支撑在下电极上的半导体基底可通过由向所述处理气体供应RF能量而产生的等离子体来被等离子体蚀刻。
对于金属蚀刻处理,可将下电极组件合并入变压器耦合等离子体(TCPTM)反应器。变压器耦合等离子体反应器(其中RF能量被电感耦合到所述反应器中),可从加利福尼亚州弗里蒙特的Lam Research Corporation公司购买得到。已在共同拥有的专利号为5,948,704的美国专利中公开可提供高密度等离子体的高流量等离子体反应器的实例,该美国专利的公开内容在此通过引用被合并入本文中。
图1中显示的是平行板等离子体蚀刻反应器的示意图。所述等离子体蚀刻反应器100包括腔室110、进口装载腔(inlet loadlock)112和任选的出口装载腔114,其进一步的细节已在共同拥有的专利号为6,824,627的美国专利中被描述,该美国专利在此通过引用的方式被合并入本文中。
装载腔112和114(如果提供)包括传送设备,以从晶圆供应器162经所述腔室110将基底(例如晶圆)传送出到晶圆接收器164。装载腔泵176可在所述装载腔112和114中提供所需的真空压力。
真空泵172(例如涡轮泵)适于保持在所述腔室中的所需的压力。在等离子体蚀刻过程中,所述腔室压力被控制且优选保持在足够维持等离子体的水平。过高的腔室压力会不利地促进蚀刻停止,而过低的腔室压力会导致等离子体熄灭(plasmaextinguishment)。在中等密度等离子体反应器(例如平行板反应器)中,所述腔室压力优选被维持在低于约200mTorr的压力(例如少于100mTorr或少于50mTorr)。
所述真空泵可与位于所述反应器的壁内的出口连接,且可通过阀173节流以控制所述腔室内的压力。优选地,所述真空泵能在蚀刻气体流入到所述腔室的过程中维持所述腔室内的压力小于200mTorr。
所述腔室110包括上电极组件120和下电极组件140,所述上电极组件120包含有上电极125(例如喷淋头电极),所述下电极组件140包含有底板(例如下电极)160和在其上表面形成的基底支架表面150。所述上电极组件120安装在上壳体130内。可通过机构132垂直移动所述上壳体130,以调节上电极125和基底支架表面150之间的间隙。
蚀刻气体源170可连接至壳体130,以传送包含有一种或一种以上气体的蚀刻气体至上电极组件120。在优选的蚀刻反应器中,上电极组件包括气体分配系统,所述气体分配系统可用于将反应物和/或载气递送至靠近基底表面的区域。已在共同拥有的专利号为6,333,272、6,230,651、6,013,155和5,824,605的美国专利中公开了包含有一个或一个以上的气体环、喷射器和/或喷淋头的所述气体分配系统。
上电极125优选包括喷淋头电极,所述喷淋头电极包括孔(未图示)以经由所述孔分配蚀刻气体。所述喷淋头电极可包括一个或一个以上垂直地间隔的挡板,所述挡板可促进所需的蚀刻气体分配。上电极和下电极可由任意适当的材料(例如石墨、硅、碳化硅、铝(例如阳极化处理的铝)、或其组合)组成。可将传热液体源174连接至上电极组件120,并将另一个传热液体源连接至底板160。
虽然已在上面描述平行板反应器,但是所述边缘环组件可用于其它等离子体处理系统例如电感耦合等离子体室。
图2显示了包括底板202(在其上支撑基底S)、环绕底板202的下边缘环204以及上边缘环206的基底支架200。上边缘环206包括在其下表面上并与下边缘环的上末端配合的台阶。
图3显示包含有上板302、中间板304和下板306的优选为铝合金例如6061-T6的底板300。中间板304包括供应冷却剂通过入口310的冷却剂通道308。冷却剂循环通过底板通过出口(未图示)流出。所述通道308可在铝(例如6061)板上进行加工,且下板306在焊接线312被真空焊接至中间板304,以围住所述通道。
上板302可包括一个或一个以上的气体通道314,所述气体通道314被供应传热气体例如He。加工入上板302的底面的一个或一个以上径向延伸的通路316可与升降销孔318相交,升降销(未图示)通过所述升降销孔318垂直移动以升高和降低晶圆到和离开底板的上表面320。圆周间隔的轴向延伸的气体通路(未图示)引导气体通道314的He对着晶圆的底面。上板302在焊接线322被真空焊接至中间板304的上表面,以围住气体通道314和气体通路316。
在焊接上板和下板至中间板后,ESC陶瓷层压板(未图示)被结合到上板302的上表面,加工底板组件以提供平滑表面并阳极化处理所述组件。阳极化处理导致焊接线312、322的厚度增加至约0.001英寸(25μm)。
图4显示被设计成围绕底板300的外围配合的边缘环组件。然而当在等离子体蚀刻导电材料(例如铝)或其它导电层的过程中用于在电感耦合等离子体腔室内支撑晶圆时,在45h后在围绕真空焊接的接合处312、322的许多个位置观察到电弧斑点。该边缘环组件包括上环404和下环414并具有环形水平间隙,所述环形水平间隙具有的间隙高度(H)为0.003至0.047英寸且间隙长度为约0.6英寸。由于制造加工带有公差的表面,间隙高度的范围是0.003至0.047,以允许上边缘环和下边缘环的相对表面配合。例如,所述环可为内径为约12或更大英寸的加工的石英环,用于在适于支撑300mm晶圆的底板上使用。例如,上边缘环可具有的内径为约12英寸,而下边缘环内径可为约12.6英寸。具有的间隙范围为0.011至0.030英寸且长度为约1英寸的环形垂直间隙将下边缘环的内部外围与底板的外部边缘分开。
参照图2的边缘环组件,已发现在其下表面内具有单个小台阶的上边缘环对防止在底板组件的焊接线形成电弧是不起作用的。相反,具有大台阶、多个台阶或其它为增加间隙的宽长比的修正的上边缘环可阻止在焊接线形成电弧。特别是,图4显示一个台阶的边缘环组件400的横截面,其中上边缘环402具有的高度(H)为0.6英寸、外径(OD)为13.820英寸、内径(ID)为12.0英寸、宽度(W)为1.820英寸,其中台阶404提供在下表面406内约0.1英寸的偏移(offset)。为了与悬在底板的上表面的上方的晶圆的边缘适应,凹槽408在上表面410下方从内部外围412向内延伸0.108英寸。下边缘环414包括与凹槽404配合的上末端416。凹槽418与底板300下方的介电元件相配合。
图5显示克服电弧形成问题的边缘环组件。所述边缘环组件包括上边缘环508,所述上边缘环508在其下表面具有复数个台阶502、504,其延长了上边缘环和下边缘环的相对的表面之间的间隙,因此阻止等离子体贯穿到真空焊接线312、322的位置。由于该具有台阶的环,甚至在2000RF偏压小时后观察不到电弧的形成。
两个台阶的边缘环组件500包括内台阶502和外台阶504。所述内台阶具有的厚度为0.1英寸,且第二个台阶504在上边缘环508的外部边缘506设置有0.250英寸的凹槽,并从所述外部边缘506延伸至垂直表面510。下边缘环512包括与凹槽504配合的突出物514以及与内台阶502配合的上内部分516。
所述曲径式的边缘环组件可与各种边缘环结构一起执行。在变体A中,在上边缘环的下表面设置单个台阶,但带有从下表面的增加的垂直偏移,且下边缘环的高度可相应地增加。例如,所述凹槽可延伸所述边缘环的高度的25至50%。在变体B中,可在上边缘环的下表面设置两个台阶,且下边缘环的上表面包括一个或一个以上的与上边缘环的下表面配合的突出物。在变体C中,延伸25至50%的边缘环高度的单个台阶延伸到上边缘环的下表面中,而下边缘环包括与位于上边缘环内的凹槽配合的单个台阶。在变体D中,在上边缘环的下表面内的台阶延伸50%以上的整个下表面,且下边缘环包括在所述边缘环安装表面的外部部分上延伸的内突出物。在变体D中的台阶的高度垂直延伸30至60%的上边缘环的高度。在变体E中,比较的边缘环组件包括介电屏障环,其与在上边缘环和下边缘环的相对的表面中的对齐的沟槽相配合。所述屏障环与具有深度为上边缘环的高度的10至40%的沟槽相配合。在变体F中,所述上边缘环包括位于其下表面中的单个环形凹槽,且下边缘环包括与所述凹槽相配合的环形突出物。所述凹槽延长上边缘环高度的10至40%并且具有的宽度为下边缘环的宽度的15至60%。
图6A显示包含有上环602和下环604的边缘环组件600。所述上环602包括在温度受控制的底座610的环形表面608上支撑的下表面606、面向底座610的圆柱侧表面614的内侧面612、向等离子体环境暴露的外侧面616、向等离子体环境暴露的顶表面618(环绕在底座上支撑的基底S)、位于基底的外部边缘下方的上台阶620、包含有外部下表面624和外部下侧壁626的下台阶622。下边缘环604包括外表面628、顶表面630、内侧面632和在内壁632的底部的下台阶634。间隙640具有的在上边缘环和下边缘环602、604的相对的表面之间的宽度(W)为0.003至0.055英寸,其具有足够的长度(L)以防止在焊接接合部642的位置形成电弧。因此,对于平均间隙宽度,宽长比W/L优选为至少20。
图6B显示包含有上环602B和下环604B的边缘环组件600B。所述上环602B包括在温度受控制的底座610的环形表面608上支撑的下表面606B、面向底座610的圆柱侧表面614的内侧面612、向等离子体环境暴露的外侧面616B、向等离子体环境暴露的顶表面618(环绕在底座上支撑的基底S)、位于基底的外部边缘下方的上台阶620、包含有外部下表面624和外部下侧壁626的下台阶622。下边缘环604包括外表面628,顶表面630B、631B,内侧面632和在内壁632的底部的下台阶634。间隙640具有的在上边缘环和下边缘环602B、604B的相对的表面之间的宽度(W)为0.003至0.055英寸,其具有足够的长度(L)以防止在焊接接合部642的位置形成电弧。因此,对于平均间隙宽度,宽长比W/L优选为至少20。
图6C显示包含有上环602C和下环604C的边缘环组件600C。所述上环602C包括具有在温度受控制的底座610的环形表面608上支撑的内部部分和延伸超过支架表面的外部部分的下表面606C、面向底座610的圆柱侧表面614的内侧面612、向等离子体环境暴露的外侧面616C、向等离子体环境暴露的顶表面618(环绕在底座上支撑的基底S)、位于基底的外部边缘下方的上台阶620、包含有外部下表面624C和外部下侧壁626C的下台阶622C。下边缘环604C包括外表面628,顶表面630C、631C,内侧表面632和在内壁632的底部的下台阶634。间隙640C具有的在上边缘环和下边缘环602C、604C的相对的表面之间的宽度(W)为0.003至0.055英寸,其具有足够的长度(L)以防止在焊接接合部642的位置形成电弧。因此,对于平均间隙宽度,宽长比W/L优选为至少20。
图6D显示包含有上环602D和下环604D的边缘环组件600D。所述上环602D包括具有在温度受控制的底座610的环形表面608上支撑的内部部分和支架表面的向外延伸的外部部分的下表面606D、面向底座610的圆柱侧表面614的内侧面612、向等离子体环境暴露的外侧面616D、向等离子体环境暴露的顶表面618(环绕在底座上支撑的基底S)、位于基底的外部边缘下方的上台阶620、和面向位于下边缘环604D中的环形沟槽652的环形沟槽650。在环形沟槽650、652内安装介电环654。下边缘环604D包括外表面628、顶表面630D、内侧面632和位于内壁632的底部的下台阶634。间隙640D具有的在上边缘环和下边缘环602D、604D的相对的表面之间的宽度(W)为0.003至0.055英寸,其具有通过介电环654延伸的足够的长度(L)以防止在焊接接合部642的位置形成电弧。因此,对于平均间隙宽度,宽长比W/L优选为至少20。
图6E显示包含有上环602E和下环604E的边缘环组件600E。所述上环602E包括在温度受控制的底座610的环形表面608上支撑的下表面606E、面向底座610的圆柱侧表面614的内侧面612、向等离子体环境暴露的外侧面616E、向等离子体环境暴露的顶表面618(环绕在底座上支撑的基底S)、位于基底的外部边缘下方的上台阶620、包含有外部下表面624E和外部下侧壁626E的下台阶622E。下边缘环604E包括外表面628,顶表面630,内侧表面632和在内壁632的底部的下台阶634。间隙640E具有的在上边缘环和下边缘环602E、604E的相对的表面之间的宽度(W)为0.003至0.055英寸,其具有足够的长度(L)以防止在焊接接合处642的位置形成电弧。因此,对于平均间隙宽度,宽长比W/L优选为至少20。
图6F显示包含有上环602F和下环604F的边缘环组件600F。所述上环602F包括具有在温度受控制的底座610的环形表面608上支撑的下表面606,以及表面606F的外部部分包括可容纳从下边缘环604F向上延伸的环形突出物658的环形凹槽、面向底座610的圆柱侧表面614的内侧面612、向等离子体环境暴露的外侧面616F、向等离子体环境暴露的顶表面618(环绕在底座上支撑的基底S)、以及位于基底的外部边缘下方的上台阶620。下边缘环604F包括外表面628,顶表面630F,内侧面632和在内壁632的底部的下台阶634。间隙640F具有的在上边缘环和下边缘环602F、604F的相对的表面之间的宽度(W)为0.003至0.055英寸,其具有足够的长度(L)以防止在焊接接合部642的位置形成电弧。因此,对于平均间隙宽度,宽长比W/L优选为至少20。
本文所采用的术语“包括”和“包含有”用于详细指明被指定的特征、步骤或组件的存在,但是采用这些术语不排除存在或添加一个或一个以上其它特征、步骤、组件或其组。
所有的上述文献在此通过以相同程度的引用的方式合并入本文中,犹如各单独的文献被具体和单独显示为在此通过引用的方式合并入本文中。
尽管已参照优选实施方案描述本发明,应理解的是,可采取的变型和修正对于本领域技术人员而言是显而易见的。所述变型和修正可被认为落入如所附的权利要求书所定义的发明的权限和范围。

Claims (11)

1.用于在等离子体处理腔室中使用的下电极组件,包括:
(a)包含有金属板的金属底座,其中所述金属底座包括:
(i)在下侧面上位于将所述金属板冶金结合在一起的焊接接合部的位置处的焊接线;
(ii)从所述下侧面水平向内延伸的边缘环支架表面;和
(iii)位于所述边缘环支架表面上方的上侧面;
(b)包含有安装在所述边缘环支架表面上的下表面的上边缘环;
(c)围绕所述下侧面的下边缘环;和
(d)在所述上边缘环和所述下边缘环的相对表面之间以及在所述下边缘环和所述底座的外部边缘之间的间隙,所述间隙具有足够的总间隙长度比平均间隙宽度的长宽比,以阻止在所述焊接线的位置上形成电弧。
2.根据权利要求1所述的下电极组件,其中所述长宽比为至少20。
3.根据权利要求1所述的下电极组件,其中所述下边缘环和/或上边缘环包括介电材料。
4.根据权利要求3所述的下电极组件,其中所述介电材料包括选自钇、铈、铝、氮化硅和石英中的至少一种。
5.根据权利要求1所述的下电极组件,其中所述金属板包括上金属板、中间金属板和下金属板,在上焊接线将所述上金属板和中间金属板焊接在一起,且在下焊接线将所述中间金属板和下金属板焊接在一起。
6.根据权利要求1所述的下电极组件,其中所述下边缘环包括与所述金属底座的下侧面相对的侧表面且所述侧表面在所述金属底座的边缘环支架表面上延伸。
7.根据权利要求6所述的下电极组件,其中所述下边缘环还包括向内延伸的突出物,所述突出物的下表面在所述边缘环支架表面的外部部分的上方。
8.根据权利要求1所述的下电极组件,其中所述上边缘环包括位于其下表面上的两个台阶。
9.根据权利要求1所述的下电极组件,其中所述上边缘环和下边缘环包括位于所述相对表面中的相对的沟槽和位于所述沟槽内的介电环。
10.根据权利要求1所述的下电极组件,其中所述下边缘环包括位于其上表面上的环形突出物,且所述上边缘环包括环形凹槽,在所述环形凹槽中可容纳所述环形突出物。
11.根据权利要求1所述的下电极组件,其中所述上边缘环包括位于其下表面内的单个台阶,所述台阶延伸至所述下表面内至少0.25英寸,且所述下边缘环具有的高度高于所述底座的下侧壁,以便所述下边缘环的上部部分配合入由所述台阶形成的凹槽。
CN200980141249.1A 2008-10-31 2009-10-29 等离子体处理腔室的下电极组件 Active CN102187741B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19315108P 2008-10-31 2008-10-31
US61/193,151 2008-10-31
PCT/US2009/005857 WO2010062345A2 (en) 2008-10-31 2009-10-29 Lower electrode assembly of plasma processing chamber

Publications (2)

Publication Number Publication Date
CN102187741A CN102187741A (zh) 2011-09-14
CN102187741B true CN102187741B (zh) 2014-08-06

Family

ID=42130005

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980141249.1A Active CN102187741B (zh) 2008-10-31 2009-10-29 等离子体处理腔室的下电极组件

Country Status (7)

Country Link
US (1) US9412555B2 (zh)
EP (1) EP2342951B1 (zh)
JP (3) JP5743895B2 (zh)
KR (3) KR101624123B1 (zh)
CN (1) CN102187741B (zh)
TW (1) TWI496511B (zh)
WO (1) WO2010062345A2 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US9502279B2 (en) 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP2015115421A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
US9583377B2 (en) 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
JP6540022B2 (ja) * 2014-12-26 2019-07-10 東京エレクトロン株式会社 載置台及びプラズマ処理装置
TWI613753B (zh) * 2015-02-16 2018-02-01 靜電吸附承盤側壁之改良密封件
CN106711061B (zh) * 2015-11-18 2019-11-29 北京北方华创微电子装备有限公司 承载装置及反应腔室
JP6545613B2 (ja) * 2015-12-28 2019-07-17 クアーズテック株式会社 フォーカスリング
JP3210105U (ja) * 2016-03-04 2017-04-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ユニバーサルプロセスキット
US10256003B2 (en) 2017-01-31 2019-04-09 Plansee Japan Ltd. Blind-vented electrode
WO2018183243A1 (en) 2017-03-31 2018-10-04 Mattson Technology, Inc. Pedestal assembly for plasma processing apparatus
WO2018184730A1 (de) * 2017-04-07 2018-10-11 Sew-Eurodrive Gmbh & Co. Kg Verfahren zum herstellen eines systems zur induktiven übertragung von energie an ein mobilteil und vorrichtung zur durchführung des verfahrens
CN110506326B (zh) * 2017-07-24 2024-03-19 朗姆研究公司 可移动的边缘环设计
KR102617972B1 (ko) * 2017-11-21 2023-12-22 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR102383784B1 (ko) 2017-12-15 2022-04-08 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
US11094511B2 (en) * 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing
CN112992631B (zh) * 2019-12-16 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件,其安装方法及等离子体处理装置
CN114649178A (zh) * 2020-12-18 2022-06-21 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
KR102580583B1 (ko) * 2021-08-10 2023-09-21 피에스케이 주식회사 기판 처리 장치
WO2023136814A1 (en) * 2022-01-11 2023-07-20 Lam Research Corporation Plasma radical edge ring barrier seal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
CN1682344A (zh) * 2002-09-18 2005-10-12 朗姆研究公司 等离子体处理室中的边缘环磨损的补偿的方法和装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257741B2 (ja) 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5573596A (en) * 1994-01-28 1996-11-12 Applied Materials, Inc. Arc suppression in a plasma processing system
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
JPH08316299A (ja) * 1995-03-14 1996-11-29 Souzou Kagaku:Kk 静電チャック
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5805408A (en) 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JPH10303288A (ja) * 1997-04-26 1998-11-13 Anelva Corp プラズマ処理装置用基板ホルダー
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6013984A (en) 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP2003152063A (ja) * 2001-11-09 2003-05-23 Applied Materials Inc 静電チャック及び半導体製造装置
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP4082924B2 (ja) * 2002-04-16 2008-04-30 キヤノンアネルバ株式会社 静電吸着ホルダー及び基板処理装置
JP2004296553A (ja) * 2003-03-25 2004-10-21 Ngk Insulators Ltd 半導体製造装置用部材
KR100578129B1 (ko) * 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7338578B2 (en) * 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
JP4833859B2 (ja) * 2004-01-30 2011-12-07 東京エレクトロン株式会社 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
US7588668B2 (en) * 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
JP2008078208A (ja) * 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
CN1682344A (zh) * 2002-09-18 2005-10-12 朗姆研究公司 等离子体处理室中的边缘环磨损的补偿的方法和装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开平8-264515A 1996.10.11

Also Published As

Publication number Publication date
KR20110081255A (ko) 2011-07-13
CN102187741A (zh) 2011-09-14
EP2342951A4 (en) 2015-10-28
KR20160063412A (ko) 2016-06-03
TWI496511B (zh) 2015-08-11
US9412555B2 (en) 2016-08-09
JP2015181174A (ja) 2015-10-15
EP2342951B1 (en) 2019-03-06
KR101592061B1 (ko) 2016-02-04
US20100108261A1 (en) 2010-05-06
WO2010062345A3 (en) 2010-08-12
EP2342951A2 (en) 2011-07-13
KR101624123B1 (ko) 2016-05-25
WO2010062345A2 (en) 2010-06-03
TW201031277A (en) 2010-08-16
JP5976875B2 (ja) 2016-08-24
JP2012507860A (ja) 2012-03-29
KR20150102124A (ko) 2015-09-04
JP2016219820A (ja) 2016-12-22
JP6385397B2 (ja) 2018-09-05
JP5743895B2 (ja) 2015-07-01
KR101701101B1 (ko) 2017-01-31

Similar Documents

Publication Publication Date Title
CN102187741B (zh) 等离子体处理腔室的下电极组件
US20210296144A1 (en) Substrate support pedestal having plasma confinement features
TWI501288B (zh) 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
US7846291B2 (en) Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US8980049B2 (en) Apparatus for supporting substrate and plasma etching apparatus having the same
US20120024479A1 (en) Apparatus for controlling the flow of a gas in a process chamber
US20060005930A1 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
US11495440B2 (en) Plasma density control on substrate edge
JP2007027068A (ja) マルチチャンバプラズマプロセスシステム{multichamberplasmaprocesssystem}
KR20120000231U (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
JP2013149865A (ja) プラズマ処理装置
US9583313B2 (en) Plasma processing apparatus and plasma processing method
KR100493799B1 (ko) 금속 막 제조 장치 및 방법
KR20240004160A (ko) Rf 분위기에서 가열된 컴포넌트들을 위한 고전력 케이블
TWI803010B (zh) 半導體基板支撐件電力傳輸組件
KR102418621B1 (ko) 플라즈마 클리닝링을 구비한 플라즈마 처리장치, 이를 포함한 플라즈마 처리 시스템 및 이를 이용한 처리방법
CN112117177A (zh) 工程气体供应装置以及配备上述装置的基板处理系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant