CN102084471A - 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置 - Google Patents

用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置 Download PDF

Info

Publication number
CN102084471A
CN102084471A CN2009801268058A CN200980126805A CN102084471A CN 102084471 A CN102084471 A CN 102084471A CN 2009801268058 A CN2009801268058 A CN 2009801268058A CN 200980126805 A CN200980126805 A CN 200980126805A CN 102084471 A CN102084471 A CN 102084471A
Authority
CN
China
Prior art keywords
plasma
signal
voltage
current
unstable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801268058A
Other languages
English (en)
Other versions
CN102084471B (zh
Inventor
杰-保罗·布斯
米琪奥·纳盖
道格拉斯·L·凯尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102084471A publication Critical patent/CN102084471A/zh
Application granted granted Critical
Publication of CN102084471B publication Critical patent/CN102084471B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种用于检测衬底处理过程中等离子体处理系统的处理室内的等离子体不稳定的装置。该装置包含探针装置,其中所述探针装置设置于所述处理室的表面上并被配置为测量至少一个等离子体处理参数。所述探针装置包括面向等离子体的传感器和测量用电容器,其中所述面向等离子体的传感器耦合于所述测量用电容器的第一板。该装置还包括检测装置,所述检测装置耦合于所述测量用电容器的第二板。所述检测装置被配置为将流过所述测量用电容器的感应电流转换为一组数字信号,该组数字信号被处理以检测所述等离子体不稳定。

Description

用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(CCE)探针装置
背景技术
在等离子体处理室中处理衬底的过程中,满意的结果通常需要对工艺参数的紧密控制。用于制造现代高密度集成电路的沉积、刻蚀、清洁等工艺尤其如此。当处理参数(比如偏压、射频功率、离子通量、等离子体密度、压强等)超出了一个预定的窄窗口时,所谓的工艺偏差就发生了。这些工艺偏移代表了经常会导致不良处理结果(例如,不良的蚀刻轮廓、低选择性等)的不良事件。相应地,工艺偏差的检测、表征和避免是集成电路制造中工艺工程师的重要任务。
检测工艺偏差通常是通过监控各种工艺参数进行的。一些工艺参数(比如偏压、反射功率等)可以直接测量,然而其它的可以从测得的参数推断。
等离子体不稳定问题通常由例如迁移(transitions)和接地输送缺陷引起,代表一类有可能减少产量、损害衬底和/或损害室元件的工艺偏差。而且,无约束等离子体事件(其通常对成品率带来负面影响并通常缩短室元件的预期寿命)通常是由等离子体不稳定引起的。
相应地,检测等离子体不稳定对于诊断和调整制法以改善工艺结果和工艺成品率并防止对衬底和/或室元件的损害是重要的。
发明内容
在一个实施方式中,本发明涉及一种用于检测衬底处理过程中等离子体处理系统的处理室内的等离子体不稳定的装置。该装置包含探针装置,其中所述探针装置设置于所述处理室的表面上并被配置为测量至少一个等离子体处理参数。所述探针装置包括面向等离子体的传感器和测量用电容器,其中所述面向等离子体的传感器耦合于所述测量用电容器的第一板。该装置还包括检测装置,所述检测装置耦合于所述测量用电容器的第二板。所述检测装置被配置为将流过所述测量用电容器的感应电流转换为一组数字信号,该组数字信号被处理以检测所述等离子体不稳定。
上述发明内容只涉及此处披露的本发明的许多实施方式中的一个,并不是为了限制本发明的范围,本发明的范围如权利要求所述。在下面本发明的具体实施方式部分结合附图,对本发明的这些及其他特征进行更加详细的描述。
附图说明
在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中:
图1显示,按照本发明的一个实施方式,一种使用无源CCE探针架构的等离子体不稳定事件检测装置。
替换地或者附加地,如图2所示,电压测量器件可以耦合于该测量用电容器的板,该测量用电容器也耦合于该探针头。
图3A和3B显示,按照本发明的一个实施方式,指示一些等离子体不稳定事件的振荡图案的绘图。
图4A和4B显示,按照本发明的一个实施方式,指示在等离子体无约束事件之前并导致该等离子体无约束事件的等离子体不稳定的振荡图案的绘图。
讨论的图A显示等离子体系统的一部分的简单示意图,其中射频(RF)源电容耦合于反应器室以产生等离子体。
讨论的图B1显示射频充电后的电压/时间图。
讨论的图B2显示射频充电后收集的电流数据图。
讨论的图C显示对于射频爆发(RF burst)之间的单个时间区间的电流/电压简图。
讨论的图D显示,在本发明的一个实施方式中,描绘在衬底处理过程中自动表征等离子体的全部步骤的简单流程图。
讨论的图E显示,在本发明的一个实施方式中,一种用于确定关联范围和种子值的简单算法。
讨论的图F1显示射频爆发后的电流/时间示例。
讨论的图F2显示射频爆发后的电压/时间示例。
讨论的图F3显示转折点的示例。
讨论的F4显示应用到电流/电压图的曲线拟合的示例。
具体实施方式
现在参考附图中描绘的一些优选实施方式,对本发明进行详细描述。在下面的描述中,阐明了许多具体细节以提供对本发明的彻底理解。然而,显然,对于本领域的技术人员来说,本发明没有这些具体细节中的一些或全部仍然可以实施。在其它情况下,没有对已知的工艺步骤和/或结构进行详细描述,以免不必要地模糊本发明。
本发明的实施方式涉及使用电容耦合静电(CCE)探针装置或其子集检测等离子体不稳定事件。作为背景,CCE探针已经长期被用来测量等离子体处理参数,比如离子通量、电子温度、空载电势(floating potential)、薄膜厚度等。CCE探针是本领域已知的,其细节可从公众可得的著作中得到,包括例如名称为“Method And Device For Measuring An Ion Flow in A Plasma”的美国专利5,936,413(1999年8月10日),通过援引将其并入本文。CCE探针提供了许多优点,包括例如更高的探测灵敏度、由于传感器的小尺寸而对等离子体的最小扰动、易于安装到室壁上、对传感器头上的聚合物沉积不敏感等等。而且,该传感器面对等离子体的表面通常由与周围室壁相同的材料组成,从而进一步最小化了对等离子体的扰动。这些优点使得CCE探针用于感测工艺参数是高度理想的。
一般而言,CCE探针装置包括连接于测量用电容器的一个板的面向等离子体的传感器。该测量用电容器的另一个板耦合于射频电压源。该射频电压源定期供应射频
然而,到目前为止,CCE探针已被用于测量比如离子通量、电子温度、空载电位测量值等参数。而且,传统上,CCE探针通过用该射频电压源对该测量用电容器充电并测量射频振荡串之间的电容器衰减电流而以传统方式进行测量。到目前为止,尚未有改造该CCE探针装置或其子集以测量等离子体不稳定事件的尝试。
根据本发明的一个或多个实施方式,提出一种能够检测等离子体不稳定事件的创新性无源CCE探针装置。尽管根据本发明的实施方式的CCE探针装置是无源的,然而本文提供的示例和讨论使得即便该CCE装置是由外部射频电压源有源激励的(正如现有技术中测量振荡串之间的跨越测量用电容器的衰减电流所做的那样),也能够检测等离子体不稳定事件。因此,在一个或更多实施方式中,该测量是无源进行的,因为不需要像传统CCE探针装置所做的那样使用外部射频电压源对该测量用电容器进行充电。
本申请的发明人意识到,当探针表面处于空载电势时,探针和等离子体之间的净电流是零。换句话说,尽管有从等离子体到探针的电子和阳离子的通量,探针头和等离子体之间交换的净电荷是零,导致零净电流。然而,如果探针头和等离子体之间的电势差变化的话,电流平衡被瞬间打破,导致流过该测量用电容器的瞬时非零感应电流。如果例如该等离子体的电势被改变的话,探针头和等离子体之间的电势差可能变化。等离子体不稳定事件是具有改变该等离子体电势的能力的这样一种事件。通过检测通过该测量用电容器的瞬时非零感应电流,可以检测到等离子体不稳定事件。
本申请的发明人还意识到,可以消除等离子体不稳定事件检测中对传统的CCE探针架构的射频激励源的需要。相反,通过监控通过该测量用电容器的感应电流,可以无源地执行监控,其中该感应电流是由等离子体不稳定事件产生的。用这种方式,无源CCE探针的架构可以不同并且可以比现有技术CCE探针的架构简单得多。
而且,检测算法和策略在图形检测和时机(timing)方面也可不同。一般地说,首先获得等离子体不稳定“签名”信号的库。例如,工艺工程师可在处理测试衬底的同时迫使特定的不稳定事件发生。监控流过该监控电容器的电流。监控并记录电流信号中的任何振荡或扰动。记录的信号可被认为是所发生的特定不稳定事件的签名。可以产生不同类型的等离子体不稳定以创建等离子体不稳定“签名”信号的库。在后续对量产衬底的生产处理过程中,监控跨越该测量用电容器的电流。如果监控的电流与存储的等离子体不稳定签名信号匹配,可以确定与所存储的信号相关的不稳定。
通过确定该等离子体不稳定,工艺工程师可以对室参数进行调整以使得室的参数符合。如同提到过的,一些等离子体不稳定导致更严重的、更有破坏性的事件,比如等离子体无约束。通过早早检测等离子体不稳定,工艺工程师在处理室缺陷时变得更有效并更有预见性,从而最小化或至少减小严重系统损坏的可能性。
参考下面的附图和讨论,可以更好地理解本发明的实施方式的特征和优点。图1显示,按照本发明的一个实施方式,一种使用无源CCE探针架构的等离子体不稳定活动检测装置。室102是传统的,并用于在其中产生等离子体104以进行衬底处理。在图1的示例中,等离子体104是由射频源106(其不用于激励图1的示例中的CCE探针装置)产生和维持的。探针110被配置在该上电极中,然而探针头110也可被配置该室壁上的其他地方。例如,探针头110可代表传统的CCE探针头。探针头110与测量用电容器112的一个板串联连接。测量用电容器112的另一个板耦合于检测装置120。
如同提到过的,由导电材料制成的探针头被安装在该室的表面中。向该探针施加短射频串,使得该电容器(Cm)被充电而该探针的表面获得负电势(相对于地为负几十伏)。在射频脉冲的末尾,随着Cm放电,探针的电势衰减回空载电势。电势变化的速率是由等离子体的特性决定的。在此放电过程中,用电压测量器件测量探针的电势Vf,然后用电流测量器件测量流向该探针并通过电容器Cm的电流。曲线V(t)和I(t)被用于构造电流-电压特性,VI,然后由信号处理器对其进行分析。模型函数被拟合到这些数据点,产生空载电势Vf、离子饱和电流Isat和电子温度Te的估计值。更多细节可以在名称为“Methods for Automatically Characterizing A Plasma”、2008年6月26日提交到美国专利局的共同待定的申请(申请号61/075,948)以及2009年6月2日提交到美国专利局的申请(申请号12/477,007)中找到,将其包括在本文的讨论中。
在另一个实施方式中,该CCE探针可以无源执行该监控而不需要通过外部射频源对该测量电容器进行外部射频激励。
在图1的示例中,检测装置120被配置为将流过测量用电容器112的感应电流转换为数字信号以进行分析。从而,就存在电流电压转换器130,其被配置为将流过测量用电容器112的感应电流转换为模拟电压。模数转换器132将来自电流电压转换器130的模拟电压信号转换为数字信号,然后该数字信号被提供到信号处理器108。信号处理器108可代表用于对该数字信号执行信号处理以检测等离子体不稳定事件的高频扰动特性的硬件和/或软件。
根据等离子体不稳定事件的类型,精确的扰动可在不同室之间变化。无论如何,这些扰动通常在传感器信号中带来独特的信号签名。该扰动可以是周期性的或者是无规律的。通过将该传感器信号将等离子体不稳定签名信号的库进行比较,可以检测等离子体不稳定事件。然后等离子体不稳定事件的检测可被提供给工具管理电路140以协助修正动作,包括例如改变工艺参数和/或关闭该等离子体处理系统以防止进一步的损害。
替换地或者附加地,如图2所示,电压测量器件202可以耦合于测量用电容器的板204,该测量用电容器也耦合于该探针头206。使用电压测量器件202检测该探针头的电势中的扰动。再说一次,可以分析该电压测量值以发现代表等离子体不稳定事件的高频扰动图案。如果需要的话,可以将电压测量值转换为数字信号以进行信号处理,从而使用数字分析技术检测该探针电压中的高频扰动。
替换地或者附加地,变换器可耦合于该电容器的没有耦合于该探针头的那个板,以协助检测流过该测量用电容器的电流中的扰动。本领域的技术人员很容易意识到,还存在检测电流信号或电压信号中的高频瞬变的其它技术,也可以使用这些技术协助检测等离子体不稳定事件。
注意,因为等离子体不稳定事件的检测依赖该传感器信号中的具体图案的检测,所以有可能实现一种双功能CCE探针,通过该双功能CCE探针,该测量用电容器也可以被周期性射频脉冲串充电,如同在传统CCE探针装置中所做的那样。例如,有可能在没有对该测量电容器施加射频激励脉冲的时间段内执行这个检测(对该探针头的感应电流或电势)。例如,有可能在该探针头在相对稳定的空载电势或当该电容器被充电时在相邻激励射频振荡串之间的时间段中执行这个检测,因为不稳定的信号通常比该测量用电容器的相对缓慢的放电速率具有更高的频率。本领域的技术人员从前述讨论可以看出,具体图案的感应电流信号或电压信号的检测可以在有或者没有对该测量用电容器的外部射频激励的情况下进行。
图3A和3B显示了,按照本发明的一个实施方式,指示一些等离子体不稳定事件的振荡图案的绘图。迹线302追踪等离子体处理过程中通过该测量用电容器的感应电流。图3B显示迹线302的一部分304的放大视图。在图3B中,可以看出,该传感器电流信号是周期性的,大约为4KHz,并具有双峰波形。与等离子体不稳定信号库的比较显示该传感器电流信号呈现出于等离子体不稳定有关的特性,而且,该不稳定与高室内压强有关。的确,在实验过程中室内压强是约750毫托。用这种方式,可以检测并分类等离子体不稳定,从而使得工艺工程师和/或工具管理计算机能够更快更高效地做出响应。
图4A和4B显示,按照本发明的一个实施方式,表现出等离子体无约束事件之前和导致该等离子体无约束事件的等离子体不稳定的振荡图案的绘图。迹线402追踪等离子体处理过程中的离子饱和电流。图4B显示了迹线402的一部分404的放大视图。在图4A中,可以看出,在无约束事件之前大概1.5秒,传感器电流信号以大约260Hz的频率震荡。该无约束事件本身在图4A中在点410开始(图4B中的参考标号412)。
从图4A中可以看出,无约束事件之前(图4中的点410之前)的传感器电流信号具有在特定频率范围内的特征化震荡。通过将该传感器电流信号与等离子体不稳定签名信号库进行比较,这种图案可能与代表等离子体无约束事件之前的等离子体不稳定的存储的等离子体不稳定签名信号有关。通过确定该等离子体正在经历导致无约束等离子体事件的不稳定类型,工具管理计算机或操作员能够采取修正动作(比如改变处理参数或简单地关掉该工具)来避免等离子体无约束事件对衬底和/或室的元件的损害。
与现有技术等离子体不稳定检测技术(比如测量ESC卡盘上的电压和/或前向射频电力和/或反射射频电力)不同,本发明的基于无源CCE探针的等离子体不稳定检测技术高度灵敏。而且,因为CCE探针头通常很小,与等离子体处理室的周围的面向等离子体的结构齐平安装,并具有由与该室的面向等离子体的元件相同的材料制成的面向等离子体的探针表面,所以对等离子体的扰动最小。而且,本发明的基于无源CCE探针的等离子体不稳定检测技术对面向等离子体的探针头上的聚合物沉积不敏感,因为电流是通过形成在该等离子体上的任何沉积电容耦合的。
尽管本发明是根据若干优选实施方式进行描述的,然而存在落入本发明范围的变更、置换和等同。还应注意,有许多实现本发明的方法和装置的替代方式。尽管此处提供了各种实施例,我们的意图是这些实施例是对本发明的说明而非限制。
而且,本文提供的发明名称和发明内容也是为了方便,不应当用于解释此处权利要求的范围。而且,摘要是用高度浓缩的形式写成的,在此提供是为了方便,而不应当用来解释或限制整个发明,发明用权利要求来表示。如果本文使用了术语“集合”,此术语是为了具有其通常理解的数学含义,涵盖0、1或多于1个成员。而且,所附权利要求应当被解释为包括所有这些变更、置换和等同,均落入本发明的真实精神和范围。
在2008年6月26日提交到美国专利局的名称为“Methods for Automatically Characterizing a Plasma”,申请号为61/075,948的共同待定申请以及2009年6月2日提交到美国专利局的申请号为12/477,007的申请中还发现了所述“讨论”,通过援引将该申请并入本文。
对用于自动表征等离子体的方法的讨论
等离子体处理的进步促进了半导体工业的增长。为了为典型的电子产品供应芯片,可处理数百或数千个衬底(比如半导体晶圆)。为了使制造公司具有竞争力,该制造公司必须能够在最小的处理时间内将该衬底处理为合格的半导体器件。
通常,在等离子体处理过程中,可能出现会对该衬底造成负面影响的问题。可能改变被处理的衬底的品质的一个重要的因素是等离子体本身。为了有足够的数据来分析该等离子体,可以使用传感器收集有关每个衬底的处理数据。可以分析收集的数据以确定问题的原因。
为了便于讨论,图A显示了在等离子体系统A-100的一部分中的数据收集探针的简单示意图。等离子体系统A-100可包括射频(RF)源A-102(比如脉冲式射频频率发生器),其电容耦合于反应器室A-104以产生等离子体106。当射频源A-102开启时,在外部电容器A-108两侧产生偏压,该外部电容器A-108可以约为26.2纳法(nF)。在一个实施例中,射频源A-102可以每几个毫秒(例如,约五毫秒)提供一个小的电力爆发(burst)(例如,11.5兆赫),导致外部电容器A-108被充电。当射频源A-102被关闭时,具有极性的偏压保留在外部电容器A-108上,从而探针A-110被偏置以收集离子。随着该偏压的衰退,可以追踪到如图B1、B2和C所示的曲线。
本领域的技术人员意识到,探针A-110通常是具有传导平面的电探针,该传导平面可以靠着反应器室A-104的室壁放置。从而探针A-110直接暴露于反应器室A-104的环境。可以分析由探针A-110收集的电流和电压数据。因为某种配方可能使得非传导性沉积层A-116沉积在探针A-110上,所以不是所有的探针都能够收集可靠的测量值。然而,本领域的技术人员意识到,即使有非传导性沉积层,PIF(平坦离子流)探针也能够收集数据,因为该PIF探针方案不需要吸收直流(DC)以实现测量。
通过其它传感器测量等离子体系统A-100中的电流和电压信号。在示例中,当射频源A-102被关掉时,分别使用电流传感器A-112和高阻抗电压传感器A-114测量电流和电压。然后绘制从电流传感器A-112和电压传感器A-114收集的测量数据以创建电流图和电压图。数据可以手动绘制或者可以将数据输入到软件程序以创建这些图。
图B1显示了在射频充电周期后的电压/时间图。在数据点B1-202,在提供射频充电(即,射频爆发)之后射频源A-102已被关掉。在此示例中,在数据点B1-202,探针A-110两端的电压约为负57伏。当等离子体系统A-100返回到安静状态(数据点B1-204和B1-206之间的间隔)时,该电压通常达到空载电压电势。在此示例中,该空载电压电势从约负57伏升高到约零伏。然而,该空载电压电势无需非得为零,而可以是负的或正的偏压电势。
类似地,图B2显示了在射频充电之后收集的电流数据的图表。在数据点B2-252,在已经提供射频充电之后射频源A-102已被关掉。在衰退期B2-254期间,外部电容器A-108的返回电流会被放电。在一示例中,在完全充电(数据点B2-252)时,电流约为0.86mA/cm2。然而,当该电流被彻底放电后(数据点B2-256),电流回到零。根据该图表,该放电花费约75毫秒。从数据点B2-256到数据点B2-258,该电容器保持放电。
因为该电流数据和该电压数据两者都是在一个时间段内被收集的,所以通过协调该时间以消除时间变量可以生成电流/电压图。换句话说,可以将收集的电流数据针对收集的电压数据匹配起来。图C显示了对于射频爆发之间的单一的时间间隔的简单的电流/电压图。在数据点C-302,在提供射频充电之后射频源A-102已被关掉。
通过对每个射频爆发过程中收集的数据施加非线性拟合,可以表征等离子体A-106。换句话说,可以确定表征等离子体A-106的参数(例如,离子饱和度、离子饱和度斜率、电子温度、空载电压电势等)。尽管等离子体A-106可以用收集的数据表征,然而计算该参数的过程是需要人为干预的沉闷的手动过程。在一示例中,当每个射频爆发后(即,当已经提供了射频充电然后将其关闭后)已经收集了数据时,该数据可以被馈送到软件分析程序。该软件分析程序可执行非线性拟合以确定可以表征该等离子体的参数。通过表征该等离子体,工程师能够确定可以如何调整配方以最小化该衬底的标准处理。
不幸的是,分析每个射频爆发的数据的现有技术方法会需要若干秒或长达数分钟的时间来完成。因为通常有数千个(如果不是数百万个的话)射频爆发要分析,所以表征配方的等离子体的总时间可能要花几小时来计算。结果,该现有技术方法无法有效地为工艺控制目的而提供及时的关联数据。
现在参考附图中描绘的一些实施方式,对本发明进行详细描述。在下面的描述中,阐明了许多具体细节以提供对本发明的彻底理解。然而,显然,对于本领域的技术人员来说,本发明没有这些具体细节中的一些或全部仍然可以实施。在其它情况下,没有对已知的工艺步骤和/或结构进行详细描述,以免不必要地模糊本发明。
下面描述了包括方法和技术在内的各种实施方式。应当记住,本发明也涵盖包括计算机可读介质的制造品,在该计算机可读介质上存储有用于执行本发明的技术的各实施方式的计算机可读指令。计算机可读介质可包括,例如,半导体、磁的、光磁的、光学的或者其它形式的用于存储计算机可读编码的计算机可读介质。进一步,本发明还可涵盖用于实现本发明的各实施方式的装置。这样的装置可包括用以执行与本发明的实施方式有关的任务的专用的和/或可编程的电路。这样的装置的例子包括恰当编程过的通用计算机和/或专用计算装置,也可包括适于执行与本发明的实施方式有关的各种任务的计算机/计算装置和专用的/可编程的电路的结合。
如上所述,该PIF探针法可用于收集关于该等离子体的数据,其可位于该反应器室环境内。从传感器(例如,PIF探针)收集的数据可用于表征该反应器室中的等离子体。而且,因为该传感器使用如图A中所示的收集表面,所以也可以确定有关该室表面的数据。在现有技术中,由该PSD探针收集的数据提供了可用于分析的现成的数据源。不幸的是,可被收集的巨大的数据量使得及时地分析该数据成为挑战。因为可能收集数千甚或数百万的数据点,鉴别关联间隔以准确地表征等离子体成为一个艰巨的任务,特别是因为数据通常是手动分析的。结果,收集的数据对提供能够及时对等离子体表征的等离子体处理系统是没有用的。
然而,如果从数千/数百万个收集的数据点中鉴别出表征等离子体所必需的关联数据点,那么表征等离子体所需的时间可以明显减少。依照本发明的实施方式,提供一种在相对短的时间段内自动表征等离子体的方法。此处描述的本发明的实施方式提供了一种用于鉴别该关联范围以减少表征等离子体所需要分析的数据点的算法。此处所述的“关联范围”指的是从在每个射频爆发之间采集的数千或数万个数据点中的更小的一组数据点。本发明的实施方式进一步提供了估算可用于计算表征等离子体的值的数学模型的种子值。通过对该关联范围执行曲线拟合,可以计算出可用来表征等离子体的参数。
参考下面的附图和讨论,可以更好地理解本发明的特征和优点。
图D显示了,在本发明的一个实施方式中,描绘用于在衬底处理过程中自动表征等离子体的步骤的简单流程图。考虑以下情况,其中在衬底处理中已经提供了射频充电。
在第一个步骤D-402中,收集电流和电压数据。在一个示例中,在该射频源被开启后,提供射频充电(脉冲)。在该射频充电被关闭后,可以使用电流传感器和电压传感器在探针(比如平坦离子流探针,其可被安装到该反应器室的室壁)收集数据。如上所述,可以由该传感器收集的数据点的数量可以在数千到数百万范围内。在一些情况下,在每个射频爆发之间可以收集数千到数万个数据点,使得现有技术中接近实时的分析几乎不可能。
在现有技术中,可以分配若干小时来分析在半导体衬底处理过程中收集的测量数据。在本发明的一个方面中,本发明的发明人意识到,不需要分析每个射频爆发之间的测量数据以表征等离子体。相反,如果将曲线拟合应用于数据组的关联范围,可以确定用于表征该等离子体的参数。
在下一个步骤D-404中,确定关联范围。如上所述,该关联范围指的是在每个射频爆发之间收集的数据组的子集。在现有技术中,因为数据是手动分析的,所以收集的数据的巨大的量使得计算该关联范围成为艰巨的任务。在许多情况下,可以目视估算该关联范围。在鉴别该关联范围时,可以从数据组的子集中基本上消除可能存在的噪声。在一个示例中,在复杂的衬底处理过程中,在该探针上可能发生聚合物累积,导致收集的数据的一部分是有偏差的。例如,被影响的这部分数据通常是一旦该电容器已经完全被放电后收集的数据。在鉴别该关联范围时,可以从分析中除去与该聚合物累积有关的数据。换句话说,该关联范围的确定使得能够进行等离子体表征而不受随机噪声的影响。例如,在后面对图E的讨论中,提供了有关如何确定关联范围的讨论。
除了鉴别该关联范围以外,在下一个步骤D-406中,还可以确定该种子值。此处讨论的“种子值”指的是该斜率、该电子温度、该离子饱和度值、该空载电压电势等的估算值。例如,在对图E的讨论中,提供了有关如何估算该种子值的讨论。
利用该关联范围和该种子值执行曲线拟合。因为曲线拟合必须在下一个射频爆发之前执行,所以用于确定该关联范围和/或种子值的方法必须利用最小的总开销并产生接近最终拟合值的值,从而减少实现快速收敛所需的曲线拟合迭代的次数。
使用该关联范围和该种子值,在下一个步骤D-408中,可以执行非线性拟合(例如,曲线拟合),从而使得该等离子体能够在更短的时间段内被表征而无需昂贵的高端计算机。与现有技术不同,该方法允许来自单一射频爆发导致的衰退间隔的结果在大约20毫秒内被表征,而不是需要几分钟乃至几小时来处理。具备了这种近似实时分析的能力,该方法可以被用作自动控制系统的一部分以在等离子体处理过程中向工程师提供关联数据。
图E显示了,在本发明的一个实施方式中,用于确定该关联范围和种子值的简单算法。将联系图F1、F2、F3和F4对图E进行讨论。
在第一个步骤E-502中,自动绘制在每个射频爆发过程中收集的数据。在一个示例中,由该电流传感器收集的电流数据被绘制到电流/时间图F1-600中,比如在图F1中所示的那个。在另一个示例中,收集的电压数据可被绘制到电压/时间图F2-650中,如图F2中所示。尽管该数据可能产生与现有技术类似的图表,然而与现有技术不同,该收集的数据被自动馈送到分析程序中而无需人为干预。替代地,无需绘制收集的测量数据。相反,可以直接将数据馈送到该分析程序中。相反,该图被用作可视的示例来解释该算法。
与现有技术不同,不分析整个数据组来表征等离子体。相反,确定关联范围。为了确定关联范围,在下一个步骤E-504中,可以首先确定百分比衰退点。此处所述的“百分比衰退点”指的是原始值衰退到该原始值的某个百分比的那个数据点。在一个实施方式中,该百分比衰退点可代表被分析的数据间隔的末尾。在一个示例中,当该射频源被关掉时,该电流值约为0.86mA/cm2。图F1的图表F1-600上的数据点F1-602代表了该值。如果百分比衰退点被设定为该原始值的百分之十,该百分比衰退点在数据点F1-604,约为0.086mA/cm2。换句话说,通过对该原始值应用预定义的百分比,可以确定该百分比衰退点,其中该原始值是当该射频源被关掉而该系统正返回平衡状态时的电荷的值。在一个实施方式中,百分比是根据经验确定的。在一个实施方式中,不是使用百分比衰退点来确定该数据间隔的末尾,而是计算在每个射频爆发时收集的数据的一阶导数的峰值。
在下一个步骤E-506中,该算法可确定该离子饱和区间,其是该原始值和第二衰退点之间的数据子集。此处所述的“离子饱和区间”指的是该电流-电压(IV)曲线的区域,在该区域中该探针的电势相对于该空载电势足够负从而到该探针的电子通量是微不足道的。在此区域中,到该探针的电流随着负电势的增加而缓慢且线性地增加。另外,该离子饱和区间是一种状态,在该状态下该偏压相对于该空载电势足够负从而该探针会收集该系统中所有的现有离子。换句话说,当该偏压被升到足够高时,收集的电流“饱和”。而且,此处所述的“现有离子”指的是碰撞在该包层边界(当偏压进一步增加时其会扩大)上的离子的通量。
换句话说,该离子饱和区间是与图F1的数据点F1-602和F1-606的间隔。在一个实施方式中,通过取该原始值的一个百分比(即,数据点F1-602)可以确定该第二衰退点。在一个示例中,如果该第二衰退点是该原始值的约百分之95,该第二衰退点约为0.81mA/cm2(即,数据点F1-606)。因此,该离子饱和区间是从该原始值(数据点F1-602)到该第二衰退点(数据点F1-606)。注意,该第二衰退点在该原始值(数据点F1-602)和该百分比衰退点(数据点F1-604)之间。与该百分比衰退点类似,在一个实施方式中,该第二衰退点也可以是基于该预定义阈值的。在一个实施方式中,该百分比是根据经验确定的。
一旦确定了该离子饱和区间,在下一个步骤E-508中,可以估算该斜率(s)和该离子饱和度(i0)。如上所述,该斜率(s)和该离子饱和度(i0)是可用于数学模型(下面的方程2)以确定表征等离子体的参数的四个种子值中的两个。在一个示例中,可以通过执行线性回归确定该斜率(s)。在另一个实施方式中,该算法还可以通过取数据点F1-602和F1-606之间的数据值的平均值而确定该离子饱和度(i0)。
在下一个步骤E-510中,该算法可确定该拐点,其是该一阶导数改变正负号的点。在一个实施方式中,该拐点可以通过鉴别该百分比衰退点和该第二衰退点之间的电流值的一阶导数的最小值来计算。为了描绘,图F3显示了电流信号F3-660的百分比衰退点(F3-664)和原始点(F3-662)之间的值的一阶导数。拐点是该一阶导数(F3-670)的最小数据点,其具有-0.012mA/cm2的值和226的索引值(如数据点F3-666所示)。为了确定该转折值,该索引值被映射到电流信号绘图F3-660。在此示例中,当该一阶导数的索引值被映射到电流信号F3-660时,该转折值是0.4714mA/cm2,如数据点F3-668所示。
在一个实施方式中,该关联范围被定义为该原始值和该拐点之间的范围。附加地或替代地,百分比衰退阈值可以被设定(例如,在百分之35)而不是计算该拐点。在一个示例中,使用百分之35的百分比衰退点(其可以根据经验确定),该关联范围可降到图F1的点F1-602和F1-604之间。
T e = abs ( I meas ( t ) ( dI meas dV meas ) ) = abs ( I meas ( t ) ( dI meas dt ) ( dt dV meas ( t ) ) ) [方程1]
表格1:参数定义
 参数   变量名
 Imeas   测量电流
 Vmeas   测量电压
 t   当前时间
 Te   电子温度
鉴别该拐点后,在下一个步骤E-512中,可以估算该电子温度。可以使用上面的方程1估算该电子温度。用于计算该电子温度的电流和电压数据在该转变间隔范围内,该转变间隔通常是当探针吸收比该离子饱和电流更小的电流时。在一个实施方式中,该电流和电压数据被测量的时间可以对应于该拐点。替代地,也可以使用该电流-电压(I-V)曲线的拐点。因为该电子温度是在对应于该电流-电压曲线上的拐点的时间对射频爆发收集的数据的一阶导数(是在计算百分比衰退点中确定的)的比,产生该数值所需的计算总开销最小。
在下一个步骤E-514中,该算法可确定该空载电压电势。因为该空载电压电势是根据收集的电压数据确定的,可以确定该空载电压电势而不必首先确定在步骤E-504-E-512中计算的值。本领域的技术人员意识到,空载电压电势是在该外部电容器被完全放电之后该探针悬浮的电势。通常,该空载电压电势可以通过看恰好在下一个射频爆发之前的信号而确定。然而,由于聚合物累积导致变形的可能性,可能会收集到错误的数据(即,噪声);因此,可以通过对在接近该收集时间段的末端收集的电压值求平均值而计算该空载电压电势。在一个实施方式中,该空载电压电势可以从数据点F2-652(该电压首次达到其空载电势的数据点)到数据点F2-654(恰好在下一个射频爆发之前的数据点)计算,如图F2中所示。在另一个实施方式中,该空载电压电势可以基于窗656内的电压值,该窗F2-656位于数据点F2-652和F2-654之间,如图F2中所示。在一个实施方式中,窗F2-656可以是任何尺寸的,只要该窗开始于先前脉冲衰退超过百分比99之前并在下一个脉冲开始时结束。在一个实施方式中,该空载电压电势可以从提供具有低标准偏差(误差)的平均值的窗中确定。
从上文可以看出,用于确定该关联范围和该种子值的方法考虑了在电流、电压和/或电流-电压(I-V)曲线中可能出现的异常。在一个示例中,聚合物累积可能在射频爆发的末尾发生。然而,通过应用上述算法,该关联范围和该种子值不受处理过程中可能出现的意外的假象的影响。
一旦确定了该关联范围并计算出该种子值,在下一个步骤E-516中,可以对比该电压值绘制该电流值,并可以应用曲线拟合以生成图F4的图表F4-680。在一个示例中,可以应用非线性曲线拟合(比如Levenberg-Marquardt算法)来执行该曲线拟合。通过生成曲线拟合图表并将该种子值应用于该数学模型(比如下面的方程2),可以确定用于表征该等离子体的四个参数。
Figure BPA00001293388200211
[方程2]
表格2:参数定义
  参数   变量名
  I   电流
  I0   离子饱和度
  S   斜率
  V   电压
  Vf   空载电压电势
  Te   电子温度
从本发明的一个或更多实施方式中可以看出,提供了一种用于在等离子体处理过程中表征等离子体的自动化方法。通过确定关联范围和一组种子值,可以进行等离子体表征而无需处理通常在单一射频爆发后收集的数千或数百万个数据点。该自动化方法将之前沉闷且手动的过程转化为可以被快速而高效地执行的自动化的任务。在数据分析从几分钟(甚或数小时)显著缩短到若干毫秒的情况下,可以在等离子体处理过程中而不是在生产过程后执行等离子体表征。因此,关联数据可以提供对当前等离子体环境的洞察,从而使得配方和/或工具的调整能够进行而使浪费最小化。
尽管已经依照一些优选实施方式描述了本发明,然而有落入本发明范围的变更、置换和等同。还应当注意,有许多实现本发明的方法和装置的替代方式。尽管此处提供了各种实施例,然而这些实施例意在是说明性的而非对本发明进行限制。
而且,本文提供的发明名称和发明内容也是为了方便,不应当用于解释此处权利要求的范围。而且,摘要是用高度浓缩的形式写成的,在此提供是为了方便,而不应当用来解释或限制整个发明,发明用权利要求来表示。如果本文使用了术语“组”,这种术语意在具有通常理解的数学含义,涵盖零个、一个、或者一个以上成员。还应当注意,所附权利要求的范围意在被解读为包括所有这些落入本发明的真实精神和范围的变更、置换和等同替换。

Claims (20)

1.一种用于检测衬底处理过程中等离子体处理系统的处理室内的等离子体不稳定的装置,包含:
探针装置,其中所述探针装置设置于所述处理室的表面上并被配置为测量至少一个等离子体处理参数,其中所述探针装置包括
面向等离子体的传感器,以及
测量用电容器,其中所述面向等离子体的传感器耦合于所述测量用电容器的第一板;以及
检测装置,所述检测装置耦合于所述测量用电容器的第二板,其中所述检测装置被配置为将流过所述测量用电容器的感应电流转换为一组数字信号,该组数字信号被处理以检测所述等离子体不稳定。
2.根据权利要求1所述的装置,其中所述面向等离子体的传感器设置于上电极中。
3.根据权利要求1所述的装置,其中所述面向等离子体的传感器被设在所述处理室的室壁上。
4.根据权利要求1所述的装置,其中所述面向等离子体的传感器是由导电材料制造的。
5.根据权利要求1所述的装置,其中所述面向等离子体的传感器是电容耦合静电(CCE)探针头。
6.根据权利要求1所述的装置,其中所述检测装置包括
电流电压转换器,其中所述电流电压转换器被配置为至少将流过所述探针装置的所述电流转换为一组模拟电压信号,
模数转换器,其被配置为至少将该组模拟电压信号转换为该组数字信号,以及
信号处理器,其中所述信号处理器被配置为至少处理该组数字信号以检测高频扰动,所述高频扰动指示所述等离子体不稳定。
7.根据权利要求1所述的装置,进一步包括工具管理电路,其中所述工具管理电路被配置为当检测所述等离子体不稳定时从所述检测装置接收消息,所述工具管理电路被配置为施加修正动作以修复所述等离子体不稳定。
8.根据权利要求1所述的装置,进一步包括耦合于所述测量用电容器的所述第一板的电压测量器件,其中所述电压测量器件被配置为至少收集电压测量值以及测量所述面向等离子体的传感器的电势变化。
9.根据权利要求8所述的装置,其中由所述电压测量器件收集的电压测量值被转换为数字信号以进行信号处理从而检测所述等离子体不稳定。
10.根据权利要求1所述的装置,进一步包括变换器,所述变换器耦合于所述测量用电容器的所述第二极,其中所述变换器被配置为至少鉴别所述感应电流中的扰动。
11.一种用于检测衬底处理过程中等离子体处理系统的处理室内的等离子体不稳定的方法,包含:
收集一组工艺数据,所述工艺数据包括流过测量用电容器的感应电流信号;
将所述感应电流信号转换为一组模拟电压信号;
将该组模拟电压信号转换为一组数字信号;以及
分析该组数字信号以检测高频扰动,所述高频扰动指示所述等离子体不稳定。
12.根据权利要求11所述的方法,进一步包括将所述电流信号的变化速率与预定义阈值相比较,其中如果所述变化速率在所述预定义阈值之外,则存在所述等离子体不稳定。
13.根据权利要求12所述的方法,进一步包括当检测到所述等离子体不稳定时,向工具管理电路发送消息,所述工具管理电路被配置为应用修正动作以修复所述等离子体不稳定。
14.根据权利要求11所述的方法,进一步包括在处理过程中从面向等离子体的传感器收集电压测量数据以确定电势变化。
15.根据权利要求14所述的方法,进一步包括将所述电压测量数据转换为一组数字信号。
16.根据权利要求15所述的方法,进一步包括分析该组数字信号以检测所述高频扰动,其中所述高频扰动指示所述等离子体不稳定。
17.根据权利要求16所述的方法,进一步包括将所述电压信号的变化速率与预定义阈值相比较,其中如果所述变化速率在所述预定义阈值之外,则存在所述等离子体不稳定。
18.根据权利要求11所述的方法,进一步包括向所述测量用电容器施加一组周期性射频(RF)脉冲串并测量所述测量用电容器的电流衰减信号。
19.根据权利要求18所述的方法,进一步包括应用电弧检测算法来检测所述测量用电容器的电流衰减信号上高于所述预定阈值的所述高频扰动。
20.根据权利要求19所述的方法,进一步包括将所述电流衰减信号的变化速率与预定义阈值相比较,其中如果所述变化速率在所述预定义阈值之外,则存在所述等离子体不稳定。
CN2009801268058A 2008-07-07 2009-07-07 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置 Expired - Fee Related CN102084471B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7874708P 2008-07-07 2008-07-07
US61/078,747 2008-07-07
PCT/US2009/049761 WO2010005933A2 (en) 2008-07-07 2009-07-07 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber

Publications (2)

Publication Number Publication Date
CN102084471A true CN102084471A (zh) 2011-06-01
CN102084471B CN102084471B (zh) 2012-11-28

Family

ID=41507686

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801268058A Expired - Fee Related CN102084471B (zh) 2008-07-07 2009-07-07 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置

Country Status (6)

Country Link
US (2) US8179152B2 (zh)
JP (1) JP5734185B2 (zh)
KR (1) KR101606736B1 (zh)
CN (1) CN102084471B (zh)
TW (1) TWI475592B (zh)
WO (1) WO2010005933A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9129779B2 (en) 2008-07-07 2015-09-08 Lam Research Corporation Processing system for detecting in-situ arcing events during substrate processing
CN107039255A (zh) * 2015-11-17 2017-08-11 朗姆研究公司 通过电测量检测等离子体不稳定性的系统和方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
TWI458850B (zh) * 2008-07-07 2014-11-01 Lam Res Corp 用來鑑定電漿處理腔室中之薄膜之特性的射頻偏壓電容耦合靜電探針裝置
TWI467623B (zh) 2008-07-07 2015-01-01 Lam Res Corp 於電漿處理系統之處理腔室內識別一穩定電漿的方法及裝置、及其電腦可讀儲存媒體
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP5459907B2 (ja) * 2010-01-27 2014-04-02 東京エレクトロン株式会社 基板載置装置の評価装置、及びその評価方法、並びにそれに用いる評価用基板
US8548312B2 (en) * 2010-02-19 2013-10-01 Applied Materials, Inc. High efficiency high accuracy heater driver
CN102209425B (zh) * 2011-01-08 2012-07-18 大连理工大学 一种射频放电等离子体诊断装置
CN102156001A (zh) * 2011-03-17 2011-08-17 大连理工大学 一种射频放电等离子体自偏置探针诊断方法
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
EP3035365A1 (en) * 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2018185834A1 (ja) * 2017-04-04 2018-10-11 株式会社Fuji プラズマ発生装置
US11209478B2 (en) * 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
US11153960B1 (en) * 2018-06-08 2021-10-19 Innoveering, LLC Plasma-based electro-optical sensing and methods
US11581206B2 (en) * 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
WO2022173720A1 (en) * 2021-02-09 2022-08-18 Fuse Energy Technologies Corp. Adjustable probe for plasma diagnostics

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US1000000A (en) * 1910-04-25 1911-08-08 Francis H Holton Vehicle-tire.
US4595487A (en) * 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) * 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JP2859308B2 (ja) 1989-08-02 1999-02-17 三井化学株式会社 プラズマパラメーターの測定方法
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP3292531B2 (ja) 1993-01-15 2002-06-17 忠弘 大見 高周波励起プラズマの計測装置
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
JPH1027778A (ja) * 1996-07-09 1998-01-27 Komatsu Ltd 表面処理装置及びこれに用いられるノズル
US5985092A (en) 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US5989349A (en) 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) * 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
EP1038996B1 (en) 1998-09-11 2007-09-05 Japan Science and Technology Agency Combinatorial molecular layer epitaxy device
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6326794B1 (en) 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6176930B1 (en) 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
JP2000268993A (ja) * 1999-03-15 2000-09-29 Toshiba Corp プラズマ計測用プローブ,プラズマ計測装置及びプラズマ生成装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
NL1013938C2 (nl) 1999-12-23 2001-06-26 Asm Int Inrichting voor het behandelen van een wafer.
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP4554037B2 (ja) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 消耗品の消耗度予測方法及び堆積膜厚の予測方法
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
JP3968211B2 (ja) * 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
US20040028810A1 (en) 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
WO2003083911A1 (en) * 2002-03-28 2003-10-09 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US6926803B2 (en) 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3773189B2 (ja) * 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6894474B2 (en) * 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US6953936B2 (en) 2002-06-27 2005-10-11 Honeywell International, Inc. Ionization type smoke sensing chamber
AU2003247538A1 (en) 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040126492A1 (en) 2002-12-30 2004-07-01 Weaver Scott Andrew Method and apparatus for using ion plasma deposition to produce coating
KR100522727B1 (ko) 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4513329B2 (ja) 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US7691243B2 (en) 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
JP2006093342A (ja) * 2004-09-22 2006-04-06 Asm Japan Kk Dcバイアス電圧測定回路及びそれを含むプラズマcvd処理装置
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7578301B2 (en) 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
EP1889279B1 (en) * 2005-06-10 2009-08-26 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20060288934A1 (en) 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
JP2007037817A (ja) 2005-08-04 2007-02-15 Matsushita Electric Ind Co Ltd 電気掃除機用集塵袋及び電気掃除機
KR20070035346A (ko) * 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
JP5044931B2 (ja) 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US20080006205A1 (en) 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) * 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8070880B2 (en) 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
KR101533473B1 (ko) 2007-12-13 2015-07-02 램 리써치 코포레이션 플라즈마 비한정 센서 및 그의 방법
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
WO2010005929A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
TWI458850B (zh) * 2008-07-07 2014-11-01 Lam Res Corp 用來鑑定電漿處理腔室中之薄膜之特性的射頻偏壓電容耦合靜電探針裝置
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
TWI467623B (zh) * 2008-07-07 2015-01-01 Lam Res Corp 於電漿處理系統之處理腔室內識別一穩定電漿的方法及裝置、及其電腦可讀儲存媒體
US8382939B2 (en) 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TW201130539A (en) 2010-03-11 2011-09-16 Joong Chenn Industry Co Ltd Exercise device with resistance inspection function
US8333166B2 (en) 2011-05-04 2012-12-18 Nordson Corporation Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9129779B2 (en) 2008-07-07 2015-09-08 Lam Research Corporation Processing system for detecting in-situ arcing events during substrate processing
CN107039255A (zh) * 2015-11-17 2017-08-11 朗姆研究公司 通过电测量检测等离子体不稳定性的系统和方法

Also Published As

Publication number Publication date
CN102084471B (zh) 2012-11-28
KR101606736B1 (ko) 2016-03-28
KR20110039240A (ko) 2011-04-15
WO2010005933A3 (en) 2010-05-06
WO2010005933A2 (en) 2010-01-14
US8179152B2 (en) 2012-05-15
TW201009881A (en) 2010-03-01
US20100033195A1 (en) 2010-02-11
JP5734185B2 (ja) 2015-06-17
US20120316834A1 (en) 2012-12-13
TWI475592B (zh) 2015-03-01
JP2011527508A (ja) 2011-10-27
US9153421B2 (en) 2015-10-06

Similar Documents

Publication Publication Date Title
CN102084471B (zh) 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置
CN102714167B (zh) 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
CN102084472B (zh) 用于表征等离子体处理室内的膜的射频偏置电容耦合静电(rfb-cce)探针装置
CN102084474B (zh) 在等离子体处理室中检测去夹紧的电容耦合静电(cce)探针装置及其方法
CN102084473B (zh) 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
CN102067739B (zh) 自动表征等离子体的方法
US20120283973A1 (en) Plasma probe and method for plasma diagnostics

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121128

Termination date: 20170707

CF01 Termination of patent right due to non-payment of annual fee