CN101939821B - 利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法 - Google Patents

利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法 Download PDF

Info

Publication number
CN101939821B
CN101939821B CN2009801042371A CN200980104237A CN101939821B CN 101939821 B CN101939821 B CN 101939821B CN 2009801042371 A CN2009801042371 A CN 2009801042371A CN 200980104237 A CN200980104237 A CN 200980104237A CN 101939821 B CN101939821 B CN 101939821B
Authority
CN
China
Prior art keywords
workpiece
chamber
plasma
work piece
support surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801042371A
Other languages
English (en)
Other versions
CN101939821A (zh
Inventor
李实健
卡提克·雷马斯瓦米
塙广二
塞奥-米·乔
比亚吉欧·加洛
崔东元
马耶德·A·福阿德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101939821A publication Critical patent/CN101939821A/zh
Application granted granted Critical
Publication of CN101939821B publication Critical patent/CN101939821B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

通过在晶片引入之前,首先在内部腔室表面上沉积部分导电的含硅处理层,来执行等离子体浸没式离子注入,该等离子体浸没式离子注入利用静电夹盘上的非常高的射频偏置电压,以达到需要的注入深度剖面。

Description

利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法
技术领域
本发明关于利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法。
背景技术
等离子体浸没式离子注入通过产生等离子体执行,该等离子体含有将在半导体晶片或工件中注入的物质的离子。该等离子体可使用等离子体源产生,诸如在该反应器腔室顶板处的环形等离子体源。通过以下方式提供足以实现低于该晶片表面的所需离子注入深度剖面的离子能量:透过该晶片支撑台座内的绝缘阴极电极,将非常高的射频偏置电压(例如,10千伏至20千伏)耦接至半导体晶片。如果晶片支撑台座结合在静电夹盘内,则绝缘的阴极电极可为薄金属网(例如,钼),该薄金属网由绝缘薄层(例如,1毫米厚)自该晶片支撑表面分离。通过将直流钳位(clamping)或“夹定(chucking)”电压施加于该网式电极,将晶片静电夹紧至夹盘,以在晶片之下跨越绝缘薄层感应强电场。需要高射频偏置电压(10-20千伏)以实现所需的离子注入深度剖面。将晶片静电夹紧以实现良好温度控制。施加于晶片以控制离子能量或注入深度的射频偏置功率产生晶片直流偏置电压。所需静电晶片钳位电压通过将直流电压施加于夹盘网式电极产生,该直流电压不同于晶片直流偏置电压,其差值数量等于所需的钳位电压。该差值为晶片钳位直流电压,对于库仑夹盘,晶片钳位直流电压通常为1-2千伏特;对于Johnson-Rahbeck夹盘,晶片钳位直流电压通常为400-600伏特。
直流钳位电压产生相应的大量电荷,这些电荷俘获在晶片背面与绝缘薄层之间的界面中。此陷阱电荷在晶片与夹盘之间产生强吸引力,甚至在移除直流夹定电压之后。任何不待该陷阱电荷耗散即自夹盘移除晶片的企图,将存在损坏晶片的风险。问题在于可能需要1-24小时,才能使陷阱电荷充分耗散以移除晶片。这是因为晶片在背面上具有绝缘(二氧化硅)层,且晶片支撑表面由绝缘(例如,二氧化硅或氮化硅)处理层(seasoning layer)所覆盖。陷阱电荷透过这些绝缘层的泄漏或中和非常缓慢。等待陷阱电荷耗散所产生的延迟(1-24小时)意味着产量将会严重缩减、成本高昂。因此需要克服对生产效率的此种限制。
发明内容
本发明提供一种在等离子体反应器腔室中对半导体工件进行等离子体浸没式离子注入的方法。在该方法的一具体实施例中,在将该工件引入该反应器之前,在该腔室的内部表面上沉积部分导电的处理膜。在一具体实施例中,该处理膜含有硅、氧及氢,且硅含量在70%与85%之间。该方法还包含通过将静电钳位电压施加于电极(该电极位于该工件支撑表面之下且与该工件支撑表面绝缘),将该工件静电夹紧在该反应器腔室中的工件支撑表面上,然后通过将来自射频源功率产生器的射频等离子体源功率耦接至该工艺气体,在该腔室中自含有将被离子注入在该工件中的物质的工艺气体产生等离子体。该方法还包含将来自射频偏置功率产生器的射频偏置功率施加于碟形电极(该电极位于该工件之下并与该工件绝缘,且具有位于该工件的周围边缘之下的周围边缘),该射频偏置功率足以在该工件上产生5-20千伏量级的高射频偏置电压,该高射频偏置电压对应于将要注入物质的工件表面下的所需离子注入深度剖面。在一具体实施例中,该静电钳位电压在该射频偏置电压的直流分量的量级或超过此量级。其后,通过关闭该钳位电压,等待该工件透过覆盖该工件支撑表面的处理膜的一部分放电,以及其后自该工件支撑表面提升该工件,来移除该工件。
附图说明
图1图解说明在执行本文所揭示的工艺的具体实施例中使用的等离子体浸没式离子注入反应器。
图2描绘根据一具体实施例的工艺。
图3A是描绘硅烷气体流速与该处理层的硅含量之间的关系的曲线图。
图3B是描绘作为该处理层的硅含量的函数的该处理层的电阻率的曲线图。
图3C是描绘作为该处理层的硅含量的函数的俘获在该晶片夹盘界面中的电荷的放电时间的曲线图。
图3D是描绘作为硅含量的函数的在离子注入工艺期间该处理层的附着相对二氧化硅处理层的附着百分比的曲线图。
图4描绘对于既定硅含量该处理层的氧与氢含量之间的关系。
具体实施方式
现参照图1,等离子体浸没式离子注入反应器具有腔室100,腔室100由圆柱形侧壁105、底板110及顶板115封闭。在腔室100内的晶片支撑台座120可为静电夹盘,该静电夹盘能够将半导体晶片125静电夹紧至该夹盘120的晶片支撑表面130上。该夹盘120可由接地导电基础层140、该基础层140上的绝缘层145、该绝缘层145上的薄阴极电极150,及该阴极电极150上并形成该晶片支撑表面130的顶端绝缘层155组成。绝缘层145、155的材料可为陶瓷材料。该阴极电极150可以是由钼形成的薄金属网。
图1的反应器具有环形(toroidal)等离子体源,该环形等离子体源包含一对横向外部再进入导管(transverse external reentrant conduits)160、165,每一导管延伸跨越该腔室100的直径且在导管端部透过该顶板115中的端口112耦接至该腔室100的内部。射频功率供应器170、175将射频功率分别耦接至这些再进入导管160、165的内部。射频功率供应器170由缠绕导管160的透磁环180、缠绕一部分的该环180的导电线圈182及透过射频阻抗匹配元件186耦接至该线圈182的射频功率产生器184组成。射频功率供应器175由缠绕导管165的透磁环180′、缠绕一部分的该环180′的导电线圈182′,及透过射频阻抗匹配元件186′耦接至该线圈182′的射频功率产生器184′组成。
该顶板115包含气体分配板188。工艺气体供应件190a、190b、190c、190d透过使用者可控的气体显示板(gas panel)195将工艺气体供应至该气体分配板188。腔室100由真空泵198抽空。工艺气体供应件能够供应两种不同混合物。在预注入腔室处理工艺中使用第一工艺气体或气体混合物,其中在将晶片引入该腔室之前,将工艺相容材料的薄涂层沉积在反应器腔室的内部表面上。在一具体实施例中,该第一工艺气体由含硅气体混合物(诸如硅烷与氧气气体)组成。气体供应件190a可含有硅烷气体,同时气体供应件190b可含有氧气气体。在晶片的等离子体浸没式离子注入期间使用第二工艺气体或气体混合物,该第二工艺气体包括将作为半导体掺杂注入在硅晶片中的化学物质(例如,硼、砷、磷、锑,或诸如此类)的氟化物或氢化物。在等离子体中解离该第二工艺气体,以产生所需掺杂物的离子。第二工艺气体自气体供应件190c获得,举例而言,气体供应件190c可储存掺杂氟化物,诸如BF3,或掺杂氢化物。掺杂物质对于硅可为p型掺杂物,举例而言诸如硼或磷,或对于硅可为n型掺杂物,举例而言诸如砷或锑。掺杂物质的气体氟化及氢化化合物已为众所周知的,且是储存于气体供应件190c中的这些气体化合物中的一种。此种气体的特定实例为BF3。气体供应件190d举例而言含有诸如氩的惰性气体,本说明书下文对其使用进行描述。
静电夹盘120包含一组支撑在使用者控制的提升多脚架(lift spider)123上的可升降提升销122,以使机器手(未显示)能够在将晶片放置在支撑表面130上及将晶片125自腔室100移除期间,处理该晶片125。这些提升销122通常由陶瓷材料形成,以避免在等离子体处理期间发生污染。使用者可控的直流夹定电压供应器(D.C.chucking voltage supply)200透过开关202连接至该网式电极150。透过射频阻抗匹配电路215及透过可选的隔离电容器220(隔离电容器220可包含于该阻抗匹配电路215中),将能够产生非常高射频偏置电压的射频偏置功率产生器210耦接至该网式电极150。为了在晶片125中提供有益的离子注入深度剖面,该射频偏置电压产生器操作于充分高的功率水平,以在该晶片表面产生跨越等离子体鞘层的射频偏置电压,该电压在10千伏或更高的量级。此电压控制该离子注入深度剖面。
如上所述,可以于引入晶片125之前执行预注入腔室处理工艺,在该预注入腔室处理工艺中至少几乎所有内部腔室表面(包含该晶片支撑表面130)被涂覆处理层,该处理层由工艺相容材料组成。举例而言,此步骤可防止注入工艺的污染,污染因来自腔室表面的工艺不相容材料的溅射而产生。通常,该处理层的工艺相容材料是二氧化硅或氮化硅,二氧化硅或氮化硅在该腔室内部表面上附着良好。(因为附着不良,纯硅不能用作该处理层)。二氧化硅处理层具有1012欧姆-米的量级的电阻率。在引入晶片之前的预注入腔室处理工艺中,透过高架的气体分配板188自气体供应件190a、190b将硅烷及氧气气体引入腔室100,同时环形等离子体源在腔室100内产生等离子体。选定该硅烷及氧气气体的比例,以在腔室内部表面上产生氢化二氧化硅膜。此膜具有类似于二氧化硅的化学计量比,但存在某些较小比例的氢,此膜的电阻率类似于二氧化硅(大约1012欧姆-米)。
腔室表面已经涂覆该处理层至所需厚度(例如,1-100微米)之后,气体显示板195停止这些处理工艺气体(硅烷及氧气)至气体分配板188的流动,以允许自腔室100的内部抽出这些气体。将半导体晶片125放置在静电夹盘120的晶片支撑表面130上,透过气体分配板188自气体供应件190c引入离子注入工艺气体(例如,BF3)。该离子注入工艺气体可为掺杂氟化物或掺杂氢化物。如本文中所使用的术语“掺杂物”,是指在半导体晶体中的掺杂物质,该掺杂物质在半导体晶体的晶格中形成施主或受主位置。对于结晶硅半导体,掺杂物质包含硼、磷、砷、锑,等等。通过来自射频功率供应器170、175的射频功率由环形等离子体源在腔室100内产生等离子体。通过将来自射频偏置功率产生器210的足量射频偏置功率施加于阴极电极150,获得于晶片125表面下的所需离子注入深度剖面。典型离子注入深度剖面要求10千伏-20千伏量级的射频偏置电压。执行该离子注入工艺,直至在半导体晶片125中已达到所需离子注入剂量,之后自腔室100移除晶片。通过关闭直流夹定电压供应器开关202(以移除静电晶片夹紧力),然后提升提升销122,完成晶片125的移除。
在至少一或连续若干个晶片已被离子注入及自该腔室移除之后,自内部腔室表面移除处理膜。为了移除该处理膜,将下游或远端等离子体源(RPS)400耦接至腔室100的气体分配板188。将含氟气体(诸如NF3)的供应器402耦接至RPS 400,以自RPS 400内部产生的等离子体的解离产生自由氟。包含自由氟的等离子体副产物自该RPS 400如气体般透过高架气体分配板188馈送,并将该等离子体副产物馈送入腔室100以移除处理层。此移除步骤大约需要半分钟完成。
此便产生一问题,即不能在不损坏晶片的情况下自静电夹盘120移除半导体晶片125。已发现在晶片移除期间,提升销122的提升受到在移除直流夹定电压之后的残余静电晶片夹紧力的对抗。通常,一旦将提升销122压向晶片背面,该晶片会破碎。只能通过在关闭夹定电压源开关202之后,将晶片移除延迟一非常长的时间来避免此问题。对于每一晶片,此延迟可达一小时至许多小时,对生产效率造成不能接受的损失。已发现,此极长延迟由两个原因导致。首先,非常高的射频偏置电压所需的非常高的直流夹定电压在晶片夹盘界面中累积成比例的大量电荷。其次,二氧化硅或氮化硅处理层增加该晶片夹盘界面中的陷阱电荷的电隔离。通常,晶片在其背面上具有绝缘二氧化硅膜,且绝缘的该晶片背面二氧化硅层和夹盘120的晶片支撑表面130上的二氧化硅处理层是陷阱电荷的放电主路径。此二层均具有高电阻率(例如,大约1012欧姆-米),该高电阻率会增加在等离子体浸没式离子注入工艺结束时关闭该直流夹定电压开关202之后,陷阱电荷耗散所需要的时间。在夹盘电压开关202关闭之后,可在腔室100中维持等离子体(诸如氩等离子体),以便为陷阱电荷提供导电路径。甚至在此权宜之计之下,在夹盘电压开关关闭之后等待晶片移除的时间仍在小时的量级。
用于缩短此延迟时间的另一权宜之计是形成金属的提升销122,且轻柔地将提升销122抵在晶片背面,以便在自夹盘120提升晶片125之前,为陷阱电荷的放电提供另一导电路径。然而,提升销122不能为导电性的,因为施加于夹盘120的非常高的射频偏置电压(10千伏)可导致等离子体受到来自提升销的金属污染。提升销122通常由类似于夹盘绝缘层145、155的陶瓷材料形成,且因此不能为在晶片夹盘界面的陷阱电荷提供电子放电路径。
为了克服上述问题,将一电阻小得多的材料用作腔室内部表面上沉积的处理层,该处理层的电阻率约为109欧姆-米的量级,或比上述二氧化硅处理层小若干数量级。在一具体实施例中,该新的较小电阻的处理材料,由大约70-85%硅(按原子组份)形成,其余为氧及氢。举例而言,该处理层可为SixOyHz,其中x在0.7至0.85的范围内。在另一具体实施例中,硅含量在75%至85%的范围内。在又一具体实施例中,硅含量在80%至85%的范围内。实质上,在部分导电的处理材料中的硅的比例接近但低于一最大临限(例如,按原子组份大约85%),高于此值,处理材料呈现为粉末且在腔室内部表面上附着不良。此外,硅在该部分导电的处理层中的比例高于一最小临限(按原子组份大约65%),低于此值,材料的电阻率会超过大约109欧姆-米或1010欧姆-米。氧的比例依赖于硅的比例且可在大约20%与5%之间。材料的其余部分由氢组成,因此氢的范围在大约5%至20%。这些比例可使用以下流速达到,在一实例中:200-400sccm的硅烷及50-100sccm的氧气。
所提供的新的部分导电的处理材料(通过缩短所需的晶片提升的后夹紧等待时间)已使生产效率大幅增加,同时不会在离子注入工艺中引入颗粒污染问题。实际上,移除直流钳位电压之后的晶片提升等待时间现在大约为数秒,相对数小时或更多的现有等待时间,此改良达若干数量级。该新的部分导电的处理材料为俘获在晶片夹盘界面中的电荷提供放电路径,该处理材料的导电率大约为已有处理材料的一千倍。
该新的部分导电处理材料特别与图1的反应器的环形等离子体源相容。具体而言,在再进入导管160、165的内部表面上未沉积处理材料或几乎未沉积处理材料,以便该处理材料的部分导电行为不对射频功率供应器170、175的效能产生不利影响。该部分导电处理材料的沉积主要限定于腔室100的内部表面。
现在参照图2对利用该新的部分导电处理材料的等离子体浸没式离子注入工艺进行说明。首先,将该新的部分导电处理材料沉积在腔室内部表面上。为此目的,将氩气或其他惰性气体自气体供应件中的一个(例如,气体供应件190d)透过气体分配板188引入,同时射频功率供应器170、175在大约20毫托的腔室压力下以标称频率13.56±1兆赫兹(方框450)施加等离子体源功率(方框450)。以大约50-100sccm的流速透过气体分配板188引入氧气气体(方框455)。以大约200-400sccm的流速透过气体分配板188引入硅烷气体(方框460)。维持这些气体流速,直至在内部腔室表面上已沉积大约3000-4000埃的处理膜(方框465)。
停止硅烷气体及氧气气体的流动,并使用氩气冲洗腔室100(方框470)。停止该氩气流动之后,在晶片支撑表面130上放置将要离子注入的半导体晶片,透过气体分配板188将含掺杂物质的工艺气体引入腔室100,同时经由源功率供应器170、175施加射频源功率(方框475)。将充足的射频偏置功率(例如,数万瓦特)施加于电极150以产生偏置电压(例如,10-20千伏),该偏置电压能够在晶片中达到所需离子注入深度剖面(方框480)。
已在晶片中达到所需离子注入剂量之后,停止含掺杂物的工艺气体流动,关闭钳位电压开关202,且(视需要)使氩(或其他惰性)气体流入腔室,同时经由功率供应器170、175施加等离子体源功率,且在大约30秒之后自晶片支撑表面130提升晶片(方框485)。
自腔室移除晶片之后,透过气体分配板188自远端等离子体源400将含有(在一具体实施例中)氟原子的处理材料移除气体引入腔室,同时无射频源功率且无射频偏置功率施加于腔室100。此气体流动持续大约30秒,以移除所有处理材料(方框490)。在此期间,真空泵198将主腔室100维持在约200-300毫托,同时由于跨越气体分配板188及将远端等离子体源400耦接至该气体分配板的气体管线的压力下降,而将远端等离子体源400的内部维持在更高的压力(例如,1-2托)。然后,腔室100准备好进行下一等离子体浸没式离子注入工艺。在以此方式移除处理层之前,可离子注入一个以上的晶片。利用氟原子或氟化合物作为来自远端等离子体源400的处理层移除气体或等离子体副产物的一优势,在于氟中性粒子在室温下不会对铝有任何显著程度的攻击。这样避免在移除处理层期间暴露的金属组件的退化。
图3A中的曲线描绘SixOyHz的处理层中硅含量x,该硅含量x为等离子体反应器中的硅烷气体流速的近似函数。图3A的曲线是大致显示的且未按实际比例。在本实例中,以特定范围(例如,50-100sccm或标准立方厘米每分钟)内的气体流速,将氧气供应至反应器腔室中。图3A显示硅烷气体流速自200增加至400sccm,硅含量自大约0.65增加至大约0.85。图3B中的曲线描绘作为硅含量的近似函数的处理层的电阻率。图3B的曲线是近似的且未按实际比例。图3B显示电阻率自大约1012欧姆-米下降至大约109欧姆-米。图3C的曲线描绘作为该处理层的电阻率的近似函数的俘获在晶片夹盘界面中的电荷的放电时间。图3C的曲线是大致显示的且未按实际比例。图3C指示随着处理层硅含量自0.33增加至1.00,放电时间自硅含量为0.33时的若干小时的最大时间,降低至秒量级的最小时间。当硅含量上升至高于0.65时,放电时间落入若干分钟的范围内。图3D的曲线描绘在离子注入工艺期间附着至腔室壁的处理层(相对二氧化硅处理层)的百分比,该百分比为硅含量的近似函数。图3D的曲线是大致显示的且未按实际比例。图3D显示当硅含量超过大约0.85时,附着急剧下降。图3C的曲线指示为使放电时间降低至分钟量级,硅含量应超过约0.65。图3D显示高于约0.85的硅含量会使附着变得不良。因此,在一具体实施例中,该硅含量限定在大约0.70至0.85的范围内,以便实现非常短的陷阱电荷放电时间,同时相对二氧化硅处理层维持相对良好的附着。这通过将硅烷气体流速限制至大约200-400sccm来完成,如图3A中所示。氧含量y及氢含量z可改变,但氧含量y及氢含量z的总和y+z必须为1-x,如在图4的曲线图中所示。举例而言,如果硅含量x=0.85,则y+z=0.15。

Claims (14)

1.一种在等离子体反应器腔室中的半导体工件上执行等离子体浸没式离子注入的方法,包括:
在将所述工件引入所述反应器之前,在所述腔室的内部表面上沉积部分导电的处理膜,所述处理膜包括硅、氧及氢,且硅含量在70%与85%之间;
通过施加静电钳位电压,将所述工件静电夹紧在所述反应器腔室中的工件支撑表面上;
通过将来自射频源功率产生器的射频等离子体源功率耦接至含有将被离子注入在所述工件中的物质的工艺气体,在所述腔室中自所述工艺气体产生等离子体;
将来自射频偏置功率产生器的射频偏置功率施加于位于所述工件之下的电极,以在所述工件上产生5-20千伏的量级的射频偏置电压,其中所述静电钳位电压在所述射频偏置电压的直流分量的量级或超过此量级;及
通过关闭所述钳位电压,等待所述工件透过覆盖所述工件支撑表面的所述处理膜的一部分放电,以及其后自所述工件支撑表面提升所述工件,来移除所述工件。
2.如权利要求1所述的方法,其中沉积处理膜包括使足量含硅气体流入所述腔室,由此硅含量在所述处理膜中提供109欧姆-米的量级的电阻率。
3.如权利要求1所述的方法,还包括:
在自所述工件支撑表面移除工件之后,通过以下步骤移除所述处理膜:
(a)在远端等离子体源中产生的等离子体中解离含氟气体;
(b)将等离子体副产物自所述远端等离子体源馈送入所述腔室中。
4.如权利要求3所述的方法,还包括抑制在所述处理膜的移除期间于所述腔室中产生等离子体。
5.如权利要求1所述的方法,其中所述处理膜具有一硅含量,所述硅含量足以在所述膜中提供小于1010欧姆-米的电阻率,且所述硅含量被充分限制以提供二氧化硅的附着性。
6.如权利要求1所述的方法,其中沉积处理膜包括使含硅气体及氧气分别以200-400sccm及50-100sccm的流速流入所述腔室,同时将射频等离子体源功率施加于所述含硅及氧气气体。
7.如权利要求6的方法,其中所述射频等离子体源功率被施加于一对相互横越的外部再进入导管,所述一对导管耦接至数对跨越所述工件支撑表面的直径而分离的端口。
8.一种在等离子体反应器腔室中的半导体工件上执行等离子体浸没式离子注入的方法,包括:
在将所述工件引入所述反应器之前,在所述腔室的内部表面上沉积部分导电的处理膜,所述处理膜包括硅、氧及氢且具有一硅含量,所述硅含量足以在所述膜中提供小于1010欧姆-米的电阻率,且所述硅含量被充分限制以使所述膜作为非粉末固态涂覆沉积;
通过将静电钳位电压施加于电极,将所述工件静电夹紧在所述反应器腔室中的工件支撑表面上,所述电极位于所述工件支撑表面之下且与所述工件支撑表面绝缘;
通过将来自射频源功率产生器的射频等离子体源功率耦接至含有将被离子注入在所述工件中的物质的工艺气体,在所述腔室中自所述工艺气体产生等离子体;
将来自射频偏置功率产生器的射频偏置功率施加于碟形电极,所述碟形电极位于所述工件之下并与所述工件绝缘,且具有位于所述工件的周围边缘之下的周围边缘,所述射频偏置功率足以在所述工件上产生5-20千伏的量级的射频偏置电压,所述射频偏置电压对应于在将要注入所述物质的所述工件表面下的所需离子注入深度剖面,其中所述静电钳位电压在所述射频偏置电压的直流分量的量级或超过此量级;及
通过关闭所述钳位电压,等待所述工件透过覆盖所述工件支撑表面的处理膜的一部分放电,以及其后自所述工件支撑表面提升所述工件,来移除所述工件。
9.如权利要求8所述的方法,其中沉积处理膜包括使足量含硅气体流入所述腔室,由此硅含量在所述处理膜中提供109欧姆-米量级的电阻率。
10.如权利要求8所述的方法,其中所述处理膜的其余部分包括氧及氢。
11.如权利要求8所述的方法,还包括:
在自所述工件支撑表面移除工件之后,通过以下步骤移除所述处理膜:
(a)在远端等离子体源中产生的等离子体中解离含氟气体;
(b)将等离子体副产物自所述远端等离子体源馈送入所述腔室中。
12.如权利要求11所述的方法,还包括抑制在所述处理膜的移除期间于所述腔室中产生等离子体。
13.如权利要求8所述的方法,其中沉积处理膜包括使含硅气体及氧气分别以200-400sccm及50-100sccm的流速流入所述腔室,同时将射频等离子体源功率施加于所述含硅及氧气气体。
14.如权利要求13所述的方法,其中所述射频等离子体源功率被施加于一对相互横越的外部再进入导管,所述一对导管耦接至数对跨越所述工件支撑表面的直径而分离的端口。
CN2009801042371A 2008-02-06 2009-01-19 利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法 Expired - Fee Related CN101939821B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/069,424 US7968439B2 (en) 2008-02-06 2008-02-06 Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US12/069,424 2008-02-06
PCT/US2009/000369 WO2009099519A1 (en) 2008-02-06 2009-01-19 Plasma immersion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces

Publications (2)

Publication Number Publication Date
CN101939821A CN101939821A (zh) 2011-01-05
CN101939821B true CN101939821B (zh) 2013-01-30

Family

ID=40932102

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801042371A Expired - Fee Related CN101939821B (zh) 2008-02-06 2009-01-19 利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法

Country Status (6)

Country Link
US (2) US7968439B2 (zh)
JP (1) JP4926280B2 (zh)
KR (1) KR101160006B1 (zh)
CN (1) CN101939821B (zh)
TW (1) TW200949912A (zh)
WO (1) WO2009099519A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US20130288465A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Methods for filling high aspect ratio features on substrates
CN103887135B (zh) * 2012-12-24 2016-05-18 中国科学院微电子研究所 离子注入系统
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6830030B2 (ja) * 2017-04-27 2021-02-17 新光電気工業株式会社 静電チャック及び基板固定装置
US10242893B2 (en) 2017-06-20 2019-03-26 Applied Materials, Inc. Method and apparatus for de-chucking a workpiece using a swing voltage sequence
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3904505A (en) * 1970-03-20 1975-09-09 Space Sciences Inc Apparatus for film deposition
JPH1197430A (ja) * 1997-07-14 1999-04-09 Applied Materials Inc 高密度プラズマプロセスチャンバ
KR100809889B1 (ko) * 2000-08-11 2008-03-06 어플라이드 머티어리얼즈 인코포레이티드 외부에서 여기된 토로이드형 플라즈마 소스를 구비한 플라즈마 챔버
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
JP2004014868A (ja) * 2002-06-07 2004-01-15 Tokyo Electron Ltd 静電チャック及び処理装置
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7691755B2 (en) * 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput

Also Published As

Publication number Publication date
CN101939821A (zh) 2011-01-05
JP2011511473A (ja) 2011-04-07
US20110207307A1 (en) 2011-08-25
US20090197401A1 (en) 2009-08-06
JP4926280B2 (ja) 2012-05-09
KR101160006B1 (ko) 2012-06-25
KR20100120199A (ko) 2010-11-12
US8168519B2 (en) 2012-05-01
TW200949912A (en) 2009-12-01
WO2009099519A1 (en) 2009-08-13
US7968439B2 (en) 2011-06-28

Similar Documents

Publication Publication Date Title
CN101939821B (zh) 利用腔室内部表面上的纯硅或近乎纯硅处理层进行的等离子体浸没式离子注入法
US8003500B2 (en) Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
CN109690736B (zh) 高压缩/拉伸的翘曲晶片上的厚钨硬掩模膜沉积
CN108292602B (zh) 清洁方法
US7288491B2 (en) Plasma immersion ion implantation process
US8105957B2 (en) Method of producing semiconductor device
CN102569136B (zh) 清洁基板表面的方法和设备
US20050191830A1 (en) Plasma immersion ion implantation process
EP1408140A1 (en) A high-density plasma process for depositing a layer of Silicon Nitride
US20050230047A1 (en) Plasma immersion ion implantation apparatus
US20060081558A1 (en) Plasma immersion ion implantation process
JP4441607B2 (ja) 半導体基板を不動態化する方法
US20160358804A1 (en) Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
CN106663652A (zh) 具有介电常数设计的原位电荷捕获材料的高温静电夹盘
US20070155132A1 (en) Method of manufacture for a component including at least one single-crystal layer on a substrate
US7723219B2 (en) Plasma immersion ion implantation process with reduced polysilicon gate loss and reduced particle deposition
US4967058A (en) Power heating member
TW201034078A (en) Methods for forming conformal oxide layers on semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130130

Termination date: 20170119