JP2011511473A - チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法 - Google Patents

チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法 Download PDF

Info

Publication number
JP2011511473A
JP2011511473A JP2010545860A JP2010545860A JP2011511473A JP 2011511473 A JP2011511473 A JP 2011511473A JP 2010545860 A JP2010545860 A JP 2010545860A JP 2010545860 A JP2010545860 A JP 2010545860A JP 2011511473 A JP2011511473 A JP 2011511473A
Authority
JP
Japan
Prior art keywords
workpiece
chamber
plasma
support surface
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010545860A
Other languages
English (en)
Other versions
JP4926280B2 (ja
JP2011511473A5 (ja
Inventor
シジアン リ,
カーティック ラマスワミー,
広二 塙
セオン−ミー チョ,
ビアジオ ギャロ,
ドンウォン チョイ,
マジード エー. フォード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011511473A publication Critical patent/JP2011511473A/ja
Publication of JP2011511473A5 publication Critical patent/JP2011511473A5/ja
Application granted granted Critical
Publication of JP4926280B2 publication Critical patent/JP4926280B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Abstract

必要とされる注入物深さ分布を達成するために静電チャックに対して非常に高いRFバイアス電圧を用いるプラズマ浸漬イオン注入は、ウェーハ導入に先立って、最初にチャンバ内面の上に部分的導電性のシリコン含有シーズニング層を堆積することにより実行される。

Description

プラズマ浸漬イオン注入は、半導体のウェーハまたは加工物の中に注入されることになる種のイオンを含むプラズマを発生することにより実行される。プラズマは、反応チャンバの天井で環状プラズマ源などのプラズマ源を使用して発生することができる。ウェーハ面の下に所望のイオン注入深さ分布を達成するのに十分なイオンエネルギーが、ウェーハ支持ペデスタル内の絶縁された陰極電極を介して半導体ウェーハに非常に高いRFバイアス電圧(例えば10kVから20kV)を結合することにより供給される。ウェーハ支持ペデスタルが静電チャック内に組み込まれる場合、絶縁された陰極電極は、薄い(例えば厚さ1mmの)絶縁体層によってウェーハ支持面から分離された薄い金属(例えばモリブデン)メッシュでよい。ウェーハは、ウェーハの下の薄い絶縁体層の両端に強電界を誘起するためにメッシュ電極に直流のクランプ電圧すなわち「チャッキング」電圧を印加することにより、チャックに静電的にクランプされる。所望のイオン注入深さ分布を達成するために、高いRFバイアス電圧(10〜20kV)が必要とされる。優れた温度制御を達成するために、ウェーハは静電的にクランプされる。イオンエネルギーまたは注入物深さを制御するためにウェーハに印加されるRFバイアスパワーが、ウェーハの直流バイアス電圧を生成する。所望の静電ウェーハクランプ電圧は、ウェーハの直流バイアス電圧から所望のクランプ電圧と等しい量だけ異なる直流電圧をチャックメッシュ電極に印加することにより生成される。この差は、ウェーハをクランプする直流電圧であり、一般にクーロンチャック向けの1〜2キロボルトおよびJohnson−Rahbeckチャック向けの400〜600ボルトである。
この直流クランプ電圧は、ウェーハ背面と薄い絶縁体層との間の境界面に閉じ込められたそれ相応に大量の電荷を生成する。この閉じ込められた電荷は、直流チャッキング電圧を解除した後にさえ、ウェーハとチャックとの間に強い引力を生成する。閉じ込められた電荷が放散するのを待たずにチャックからウェーハを取り外すいかなる試みも、ウェーハを壊す危険を冒す。ウェーハを除去するために、閉じ込められた電荷が十分に放散するのに1〜24時間かかることがあるという問題がある。これは、ウェーハが背面に絶縁層(二酸化シリコンの層)を有し、ウェーハ支持面が絶縁シーズニング層(例えば二酸化シリコンまたは窒化シリコンの層)によって覆われているためである。これらの絶縁層を通る、閉じ込められた電荷の漏れまたは中和は非常に遅い。閉じ込められた電荷の放散を待つことから結果として生じる遅延(1〜24時間)は、犠牲の大きいスループットの低減を表す。生産性に対するこの制限を克服する必要性がある。
プラズマ反応チャンバ内の半導体加工物のプラズマ浸漬イオン注入の方法が提供される。この方法の一実施形態では、反応器の中に加工物を導入する前に、部分的導電性のシーズニング膜がチャンバの内面上に堆積される。一実施形態では、シーズニング膜は、シリコン、酸素および水素を含み、シリコン含有量は70%と85%との間である。この方法は、加工物支持面の下にあって加工物支持面から絶縁されている電極に静電クランプ電圧を印加することにより、反応チャンバ内の加工物支持面上の加工物を静電的にクランプするステップと、次いで、RF源パワー発生器からのRFプラズマ源パワーをプロセスガスに結合することにより、加工物の中にイオン注入されることになる種を含むプロセスガスからチャンバ内にプラズマを発生するステップとをさらに含む。この方法は、RFバイアスパワー発生器から、加工物の下にあって加工物から絶縁され、加工物の円周端の下にある円周端を有する円盤状の電極にRFバイアスパワーを印加するステップをさらに含み、RFバイアスパワーは、注入されることになる種の加工物の面より下の所望のイオン注入深さ分布に対応する5〜20kV程度の高いRFバイアス電圧を加工物に対して生成するのに十分なものである。一実施形態では、静電クランプ電圧は、RFバイアス電圧の直流成分程度、またはそれを上回るものである。その後、クランプ電圧をオフにすることにより加工物が取り外され、加工物支持面を覆うシーズニング膜の一部分を介して加工物が放電するのを待ち、その後加工物支持面から加工物を持ち上げる。
本明細書に開示されたプロセスの実施形態を実行するのに使用されるプラズマ浸漬イオン注入反応器を示す図である。 一実施形態によるプロセスを示す図である。 シーズニング層のシランガス流速とシリコン含有量との関係を示すグラフである。 シーズニング層の電気抵抗率をシーズニング層のシリコン含有量の関数として示すグラフである。 ウェーハとチャックとの境界面に閉じ込められた電荷の放電時間をシーズニング層のシリコン含有量の関数として示すグラフである。 イオン注入プロセスの期間中の、二酸化シリコンのシーズニング層の付着に対するシーズニング層の付着の割合をシリコン含有量の関数として示すグラフである。 所与のシリコン含有量について、シーズニング層の酸素含有量と水素含有量との関係を示す図である。
ここで図1を参照すると、プラズマ浸漬イオン注入反応器は、円筒状の側壁105、床110および天井115によって囲まれたチャンバ100を有する。チャンバ100内のウェーハ支持ペデスタル120は、半導体ウェーハ125をチャック120のウェーハ支持面130上に静電的にクランプすることができる静電チャックでよい。チャック120は、接地された導電性ベース層140、ベース層140の上に重なる絶縁層145、絶縁層145の上に重なる薄い陰極電極150、および陰極電極150の上に重なってウェーハ支持面130を形成する頂部絶縁層155から成り得る。絶縁層145、155の材料はセラミック材料でよい。陰極電極150は、モリブデンで形成された薄い金属メッシュでよい。
図1の反応器は、それぞれがチャンバ100の直径の端から端まで延びてその終端で天井115のポート112を介してチャンバ100の内部に結合される1対の交差する外部の再入可能な管路160、165を含む環状プラズマ源を有する。RFパワーアプリケータ170、175は、RFパワーを、それぞれ再入可能な管路160、165の内部へ結合する。RFパワーアプリケータ170は、管路160に巻き付けられた透磁性のリング180、リング180の一部分に巻き付けられた導電性コイル182、およびRFインピーダンス整合要素186を介してコイル182に結合されたRFパワー発生器184から成る。RFパワーアプリケータ175は、管路165に巻き付けられた透磁性のリング180’、リング180’の一部分に巻き付けられた導電性コイル182’、およびRFインピーダンス整合要素186’を介してコイル182’に結合されたRFパワー発生器184’から成る。
天井115は、ガス分散板188を含む。プロセスガス供給190a、190b、190c、190dは、ユーザ制御可能なガスパネル195を介してガス分散板188にプロセスガスを供給する。チャンバ100は、真空ポンプ198によって真空にされる。プロセスガス供給は、2つの異なる混合物を供給することができる。ウェーハのチャンバ内への導入に先立って反応チャンバの内面上にプロセス適合性材料の薄いコーティングが堆積される、注入前のチャンバのシーズニングプロセスでは、第1のプロセスガスすなわちガス混合物が用いられる。一実施形態では、第1のプロセスガスは、シランガスおよび酸素ガスなどのシリコン含有ガスの混合物から成る。ガス供給190aはシランガスを含んでよく、一方ガス供給190bは酸素ガスを含んでよい。ウェーハのプラズマ浸漬イオン注入の期間中、第2のプロセスガスすなわちガス混合物が用いられ、第2のプロセスガスは、化学種のフッ化物または水素化物(例えばホウ素、ヒ素、リン、アンチモンなど)から成り、半導体ドーパント不純物としてシリコンウェーハの中に注入されることになる。第2のプロセスガスは、プラズマで解離されて所望のドーパント種のイオンを生成する。第2のプロセスガスはガス供給190cから得られ、ガス供給190cは、例えばBFなどのドーパントのフッ化物またはドーパントの水素化物を貯蔵することができる。ドーパント種は、例えばホウ素またはリンなどのシリコン用p型ドーパント、または例えばヒ素またはアンチモンなどのシリコン用n型ドーパントでよい。これらのドーパント種のガス状のフッ化物および水素化物の化合物は周知であり、ガス供給190cの中に貯蔵されるのはこれらのガス状化合物のうちの1つである。そのようなガスの特定の実施例はBFである。ガス供給190dは、例えばアルゴンなどの不活性ガスを含み、その使用は、この明細書で以下に説明される。
静電チャック120は、ユーザに制御されるリフトスパイダ123上に支持された1組の持ち上げることができるリフトピン122を含み、支持面130上へのウェーハの配置およびチャンバ100からのウェーハ125の取外しの期間中、ロボットハンドラ(図示せず)がウェーハ125を扱うことが可能になる。リフトピン122は、一般にセラミック材料から形成され、プラズマ処理の期間中汚染を回避する。ユーザ制御可能な直流チャッキング電圧源200が、スイッチ202を介してメッシュ電極150に結合される。非常に高いRFバイアス電圧を発生することができるRFバイアスパワー発生器210は、RFインピーダンス整合回路215および(インピーダンス整合回路215の中に含まれ得る)任意選択の絶縁コンデンサ220を介してメッシュ電極150に結合される。ウェーハ125の中に有益なイオン注入深さ分布をもたらすために、RFバイアス電圧発生器は、ウェーハ面のプラズマシースの両端に10kV程度またはそれを上回るRFバイアス電圧を生成するように十分に高出力レベルで作動される。この電圧は、イオン注入深さ分布を制御する。
上記で言及したように、ウェーハ125の導入に先立って注入前のチャンバのシーズニングプロセスが実行されてよく、ウェーハ支持面130を含むチャンバの内面は、少なくともほぼすべてが、プロセス適合する材料から成るシーズニング層でコーティングされる。例えば、このステップは、プロセス適合しない材料のチャンバ面からのスパッタリングによる注入プロセスの汚染を防止することができる。一般に、シーズニング層のプロセス適合材料は二酸化シリコンまたは窒化シリコンであり、これはチャンバの内面によく付着する。(純粋なシリコンは、付着が不十分なためシーズニング層として用いることができない。)二酸化シリコンのシーズニング層は、1012Ωm程度の電気抵抗率を有する。ウェーハ導入に先立つ注入前のチャンバのシーズニングプロセスでは、シランおよび酸素のガスが、ガス供給190a、190bからオーバヘッドガス分散板188を介してチャンバ100の中に導入され、同時に環状プラズマ源がチャンバ100内にプラズマを発生する。シランおよび酸素のガスの割合は、チャンバ内面上に水素化二酸化シリコン膜を生成するように選択される。この膜は、二酸化シリコンと類似の化学量論組成を有するが、少ない割合でいくらかの水素を有し、電気抵抗率は二酸化シリコンのもの(約1012Ωm)と類似である。
チャンバ面がシーズニング層で所望の厚さ(例えば1〜100マイクロメートル)にコーティングされた後、ガス分散板188へのシーズニングプロセスガス(シランおよび酸素)の流れがガスパネル195によって止められ、これらのガスがチャンバ100の内部から送出され得る。半導体ウェーハ125が静電チャック120のウェーハ支持面130上に配置され、イオン注入プロセスガス(例えばBF)が、ガス供給190cからガス分散板188を介して導入される。イオン注入プロセスガスは、ドーパントのフッ化物またはドーパントの水素化物でよい。本明細書に用いられる用語ドーパントは、半導体結晶格子内にドナーまたはアクセプタのサイトを形成する、半導体結晶中の不純物種を指す。結晶シリコン半導体については、ドーパント種は、ホウ素、リン、ヒ素、アンチモンなどを含む。RFパワーアプリケータ170、175からのRFパワーにより、環状プラズマ源によって、チャンバ100内にプラズマが発生される。ウェーハ125の面より下の所望のイオン注入物の深さ分布は、RFバイアスパワー発生器210から陰極電極150に十分な量のRFバイアスパワーを印加することにより得られる。一般的なイオン注入物の深さ分布は、10kV〜20kV程度のRFバイアス電圧を必要とする。半導体ウェーハ125の中に所望のイオン注入量が達成されるまでイオン注入プロセスが実行され、その後、ウェーハがチャンバ100から取り外される。ウェーハ125の取外しは、(静電ウェーハクランプ力を除去するために)直流チャッキング電圧源のスイッチ202を切り、次いでリフトピン122を持ち上げることにより達成される。
少なくとも1つまたは一連のいくつかのウェーハがイオン注入されてチャンバから取り外された後に、シーズニング膜がチャンバ内面から除去される。シーズニング膜を除去するために、下流すなわち遠隔のプラズマ源(RPS)400が、チャンバ100のガス分散板188に結合される。RPS 400の内部で発生されたプラズマの解離から自由フッ素を生成するために、NF3などのフッ素含有ガスの供給402がRPS 400に結合される。シーズニング層を除去するために、自由フッ素を含むプラズマ副産物が、RPS 400からのガスとして、オーバヘッドガス分散板188を介してチャンバ100の中に与えられる。この除去ステップは、完結するのにほぼ30秒を必要とする。
半導体ウェーハ125を静電チャック120から取り外すと必ずウェーハが壊れるという問題が生じた。ウェーハ除去の期間中、リフトピン122の上昇に対して、直流チャッキング電圧の除去後に残っている残留の静電ウェーハクランプ力が対抗していることが判明した。典型的には、リフトピン122がウェーハ背面に押し付けられると、ウェーハがすぐに壊れる。この問題は、チャッキング電圧源のスイッチ202を切った後に、非常に長時間、ウェーハの取外しを延期することによってのみ回避される。この遅延は、各ウェーハについて1時間から数時間になることがあり、受け入れがたい生産性の損失を強いられる。本発明者は、この極端な遅延が2つの原因から起きることに気付いた。第1に、非常に高いRFバイアス電圧の存在に必要とされる非常に高い直流チャッキング電圧に比例して、ウェーハとチャックとの境界面に大量の電荷が蓄積される。第2に、二酸化シリコンまたは窒化シリコンのシーズニング層により、ウェーハとチャックとの境界面に閉じ込められた電荷の電気的絶縁が強化される。一般に、ウェーハの背面には絶縁二酸化シリコン膜があり、ウェーハ背面の二酸化シリコン層およびチャック120のウェーハ支持面130上の二酸化シリコンのシーズニング層の絶縁は、閉じ込められた電荷を放電するための主経路である。どちらの層も高い電気抵抗率(例えば約1012Ωm)を有し、それによって、プラズマ浸漬イオン注入プロセスの終結で直流チャッキング電圧スイッチ202が切られた後に、閉じ込められた電荷が放散するのに必要な時間が増加する。チャック電圧スイッチ202が切られた後、閉じ込められた電荷用の導電性経路を設けるために、アルゴンプラズマなどのプラズマをチャンバ100内に維持してよい。この手段を用いてさえ、チャック電圧スイッチを切った後のウェーハ取外しの待ち時間は数時間程度である。
この遅延時間を短縮するための別の手段は、チャック120からウェーハ125を持ち上げる前に、閉じ込められた電荷を放電するために別の導電性経路を設けるように、金属のリフトピン122を形成して、それらをウェーハ背面にそっと配置することである。しかし、チャック120に印加された非常に高いRFバイアス電圧(10kV)が、リフトピンからプラズマの金属汚染をもたらす恐れがあるので、リフトピン122は導電性にすることができない。リフトピン122は、一般にチャック絶縁層145、155の材料に類似のセラミック材料から形成され、したがって、ウェーハとチャックとの境界面に閉じ込められた電荷用の放電経路をもたらさない。
前述の問題を克服するために、チャンバ内面上に堆積するシーズニング層として、約10Ωm程度の電気抵抗率、または前述の二酸化シリコンのシーズニング層の電気抵抗率より数桁小さい電気抵抗率を有する、電気抵抗が非常に低い材料が用いられる。新規の低抵抗のシーズニング材料は、一実施形態では、原子組成で約70〜85%がシリコンであって残りは酸素および水素で形成される。例えばシーズニング層はSiでよく、xの範囲は0.7から0.85である。別の実施形態では、シリコン含有量は75%から85%の範囲である。さらに別の実施形態では、シリコン含有量は80%から85%の範囲である。基本的に、部分的導電性のシーズニング材料中のシリコンの割合は、最大閾値(例えば原子組成で約85%)に近いそれ未満の値であり、これより割合が高いとシーズニング材料が粉状になってチャンバ内面への付着が不十分になる。その上、部分的導電性のシーズニング層のシリコンの割合は、最小閾値(原子組成で約65%)を上回り、これより割合が低いと材料の電気抵抗率が約10Ωmまたは1010Ωmを超過する。酸素の割合は、シリコンの割合次第であり、約20%と5%との間でよい。材料の残りは水素から成り、その結果、その範囲は約5%から20%である。これらの割合は、一実施例では、シランの200〜400sccmの流速および酸素の50〜100sccmの流速を用いて達成することができる。
新規の部分的導電性のシーズニング材料は、イオン注入プロセスに対して粒子汚染問題を持ち込むことなく、(ウェーハを持ち上げるのに、クランプ後の必要な待ち時間を低減することにより)生産性に劇的向上をもたらした。実際、直流クランプ電圧の除去に続くウェーハ持上げのための待ち時間は、今やせいぜい数秒くらいであり、これは、現行の数時間以上の待ち時間に対して数桁の改善である。新規の部分的導電性のシーズニング材料は、ウェーハとチャックとの境界面に閉じ込められた電荷に対して、従来のシーズニング材料の導電率の約1000倍の導電率を有する放電経路をもたらす。
新規の部分的導電性のシーズニング材料は、図1の反応器の環状プラズマ源に特に適合する。具体的には、再入可能な管路160、165の内面上に、シーズニング材料は、ほとんどまたはまったく堆積されず、その結果、その部分的導電性の挙動が、RFパワーアプリケータ170、175の性能に悪影響を及ぼすことがない。部分的導電性のシーズニング材料の堆積は、主にチャンバ100の内面に限定される。
次に、新規の部分的導電性のシーズニング材料を用いるプラズマ浸漬イオン注入プロセスを、図2を参照しながら説明する。最初に、新規の部分的導電性のシーズニング材料をチャンバ内面上に堆積する。この目的のために、アルゴンガスまたは他の不活性ガスを、ガス分散板188を介してガス供給のうちの1つ(例えばガス供給190d)から導入し、一方、RFパワーアプリケータ170、175により(ブロック450)、13.56±1MHzの公称周波数のプラズマ源パワーを約20ミリトルのチャンバ圧で印加する(ブロック450)。酸素ガスを、ガス分散板188を介して約50〜100sccmの流速で導入する(ブロック455)。シランガスを、ガス分散板188を介して約200〜400sccmの流速で導入する(ブロック460)。約3000〜4000Åのシーズニング膜がチャンバ内面に堆積するまで、これらのガス流速を維持する(ブロック465)。
シランガスおよび酸素ガスの流れを止め、チャンバ100をアルゴンガスで洗い流す(ブロック470)。アルゴンガスの流れを止めた後、イオン注入するべき半導体ウェーハをウェーハ支持面130に配置し、RFパワーアプリケータ170、175によってRF源パワーを印加しながら、ドーパント種を含むプロセスガスを、ガス分散板188を介してチャンバ100の中に導入する(ブロック475)。ウェーハの中にイオン注入物の所望の深さ分布を達成することができるバイアス電圧(例えば10〜20kV)を発生するために、十分な(例えば数万ワットの)RFバイアスパワーを電極150に印加する(ブロック480)。
ウェーハの中に所望のイオン注入物量が達成された後、ドーパント含有プロセスガスの流れを止め、クランプ電圧スイッチ202を切り、(任意選択で)パワーアプリケータ170、175によってプラズマ源パワーを印加しながらアルゴンガス(または他の不活性ガス)をチャンバの中に流し込み、約30秒後にウェーハをウェーハ支持面130から持ち上げる(ブロック485)。
チャンバからウェーハを取り外した後、チャンバ100に対してRF源パワーもRFバイアスパワーも印加しない状態で、(一実施形態では)原子のフッ素を含むシーズニング材料除去ガスを、遠隔プラズマ源400からガス分散板188を介してチャンバの中に導入する。このガスフローは、シーズニング材料をすべて除去するために約30秒間継続する(ブロック490)。この間、真空ポンプ198は、主チャンバ100を約200〜300ミリトルに保ち、その一方で、ガス分散板188およびガス分散板に遠隔プラズマ源400を結合するガス管の両端に圧力降下があるので、遠隔プラズマ源400の内部を高圧(例えば1〜2トル)に保つ。次いで、チャンバ100は、次のプラズマ浸漬イオン注入プロセスに対する準備が整う。複数のウェーハは、シーズニング層がこのように除去される前にイオン注入されてよい。遠隔プラズマ源400からの、シーズニング層除去ガスまたはプラズマ副産物として原子のフッ素またはフッ素化合物を用いることの利点の1つに、フッ素中性粒子が、室温ではいかなる検出可能な度合いにもアルミニウムを侵さないことがある。これによって、シーズニング層除去の期間中、露出した金属成分の劣化が回避される。
図3Aは、プラズマ反応器内のシランガス流速の近似関数としてSiのシーズニング層のシリコン含有量xを示すグラフである。図3Aのグラフは近似であり、原寸に比例しない。この実施例では、酸素は、特定の範囲内のガス流速(例えば50〜100sccmまたは毎分標準的な立方センチ)で反応チャンバの中に供給される。図3Aは、シランガス流速が200sccmから400sccmへ増加するとき、シリコン含有量が約0.65から約0.85へ増加することを示す。図3Bは、シーズニング層の抵抗率をシリコン含有量の近似関数として示すグラフである。図3Bのグラフは近似であり、原寸に比例しない。図3Bは、抵抗率が約1012Ωmから約10Ωmへ下がることを示している。図3Cは、ウェーハとチャックとの境界面に閉じ込められた電荷の放電時間をシーズニング層の抵抗率の近似関数として示すグラフである。図3Cのグラフは近似であり、原寸に比例しない。図3Cは、シーズニング層のシリコン含有量が0.33から1.00に増加するとき、放電時間が、シリコン含有量0.33における数時間という最大時間から数秒程度の最小時間へ低下することを示す。シリコン含有量が0.65を上回るとき、放電時間は数分の範囲に入る。図3Dは、イオン注入プロセスの期間中の、チャンバ壁に付着しているシーズニング層の(二酸化シリコンのシーズニング層に対する)割合をシリコン含有量の近似関数として示すグラフである。図3Dのグラフは近似であり、原寸に比例しない。図3Dは、シリコン含有量が約0.85を上回るとき付着性が劇的に低下することを示している。図3Cのグラフは、放電時間を数分程度に低下させるには、シリコン含有量が約0.65を上回るべきであることを示す。図3Dは、シリコン含有量が約0.85を上回ると付着性が不十分になることを示している。したがって、一実施形態では、閉じ込められた電荷の非常に短い放電時間を実現する一方で二酸化シリコンのシーズニング層に対する比較的優れた付着性を維持するために、シリコン含有量は約0.70から0.85の範囲に制限される。これは、図3Aに示されるように、シランガスの流速を約200〜400sccmに制限することにより達成される。酸素含有量yおよび水素含有量zは変化してよいが、図4のグラフに示されるように、それらの合計y+zは1−xでなければならない。例えば、シリコン含有量x=0.85の場合、y+z=0.15となる。

Claims (14)

  1. プラズマ反応チャンバ内の半導体加工物に対してプラズマ浸漬イオン注入を実行する方法において、
    前記反応器の中に前記加工物を導入する前に、部分的導電性のシーズニング膜を前記チャンバの内面上に堆積するステップであって、前記シーズニング膜が、シリコン、酸素および水素を含み、かつ70%と85%との間のシリコン含有量を有するステップと、
    加工物支持面の下にあって前記加工物支持面から絶縁されている電極に静電クランプ電圧を印加することにより、前記反応チャンバ内の前記加工物支持面上の前記加工物を静電的にクランプするステップと、
    RF源パワー発生器からのRFプラズマ源パワーをプロセスガスに結合することにより、前記加工物の中にイオン注入されることになる種を含む前記プロセスガスから前記チャンバ内にプラズマを発生するステップと、
    RFバイアスパワー発生器から、前記加工物の下にあって前記加工物から絶縁され、前記加工物の円周端の下にある円周端を有する円盤状の電極にRFバイアスパワーを印加するステップであって、前記RFバイアスパワーが、注入されることになる前記種の前記加工物の面より下の所望のイオン注入深さ分布に対応する5〜20kV程度の高いRFバイアス電圧を前記加工物に対して生成するのに十分なものであり、前記静電クランプ電圧が、前記RFバイアス電圧の直流成分程度、またはそれを上回るものであるステップと、
    前記クランプ電圧をオフにすることにより前記加工物を取り外し、前記加工物支持面を覆う前記シーズニング膜の一部分を介して前記加工物が放電するのを待ち、その後、前記加工物支持面から前記加工物を持ち上げるステップとを含む方法。
  2. シーズニング膜を堆積するステップが、前記チャンバの中へ十分な量のシリコン含有ガスを流し込むことにより、シリコン含有量が前記シーズニング膜に10Ωm程度の電気抵抗率をもたらすステップを含む、請求項1に記載の方法。
  3. 前記加工物支持面からの加工物の取外しの後に、
    (a)遠隔プラズマ源で発生されたプラズマでフッ素含有ガスを解離し、
    (b)前記チャンバ内に前記遠隔プラズマ源からのプラズマ副産物を供給することにより、前記シーズニング膜を除去するステップをさらに含む、請求項1に記載の方法。
  4. 前記シーズニング膜の除去の期間中、前記チャンバ内でプラズマを発生させるのをやめるステップをさらに含む、請求項3に記載の方法。
  5. 前記シーズニング膜が、前記膜に約1010Ωm未満の電気抵抗率をもたらすのに十分なシリコン含有量を有し、この含有量は、二酸化シリコンの粘着性に類似の粘着性をもたらすように十分に制限されたものである、請求項1に記載の方法。
  6. シーズニング膜を堆積するステップが、前記チャンバの中へ、シリコン含有ガスおよび酸素ガスを、RFプラズマ源パワーを印加しながらそれぞれ約200〜400sccmおよび50〜100sccmの流速で流し込むステップを含む、請求項1に記載の方法。
  7. RFプラズマ源パワーが、前記加工物支持面の直径の両端に分離された対のポートに結合された、1対の互いに交差する外部の再入可能な管路に印加される、請求項6に記載の方法。
  8. プラズマ反応チャンバ内の半導体加工物に対してプラズマ浸漬イオン注入を実行する方法において、
    前記反応器の中に前記加工物を導入する前に、部分的導電性のシーズニング膜を前記チャンバの内面上に堆積するステップであって、前記シーズニング膜が、シリコン、酸素および水素を含み、かつ前記膜に約1010Ωm未満の電気抵抗率をもたらすのに十分なシリコン含有量を有し、この含有量は、前記膜が非粉状の固体のコーティングとして堆積するように十分に制限されるステップと、
    加工物支持面の下にあって前記加工物支持面から絶縁されている電極に静電クランプ電圧を印加することにより、前記反応チャンバ内の前記加工物支持面上の前記加工物を静電的にクランプするステップと、
    RF源パワー発生器からのRFプラズマ源パワーをプロセスガスに結合することにより、前記加工物の中にイオン注入されることになる種を含む前記プロセスガスから前記チャンバ内にプラズマを発生するステップと、
    RFバイアスパワー発生器から、前記加工物の下にあって前記加工物から絶縁され、前記加工物の円周端の下にある円周端を有する円盤状の電極にRFバイアスパワーを印加するステップであって、前記RFバイアスパワーが、注入されることになる前記種の前記加工物の面より下の所望のイオン注入深さ分布に対応する5〜20kV程度の高いRFバイアス電圧を前記加工物に対して生成するのに十分なものであり、前記静電クランプ電圧が、前記RFバイアス電圧の直流成分程度、またはそれを上回るものであるステップと、
    前記クランプ電圧をオフにすることにより前記加工物を取り外し、前記加工物支持面を覆う前記シーズニング膜の一部分を介して前記加工物が放電するのを待ち、その後、前記加工物支持面から前記加工物を持ち上げるステップとを含む方法。
  9. シーズニング膜を堆積するステップが、前記チャンバの中へ十分な量のシリコン含有ガスを流し込むことにより、シリコン含有量が前記シーズニング膜に10Ωm程度の電気抵抗率をもたらすステップを含む、請求項8に記載の方法。
  10. 前記シーズニング膜の残りが、酸素および水素を含む、請求項8に記載の方法。
  11. 前記加工物支持面からの加工物の取外しの後に、
    (a)遠隔プラズマ源で発生されたプラズマでフッ素含有ガスを解離し、
    (b)前記チャンバ内に前記遠隔プラズマ源からのプラズマ副産物を供給することにより、前記シーズニング膜を除去するステップをさらに含む、請求項8に記載の方法。
  12. 前記シーズニング膜の除去の期間中、前記チャンバ内でプラズマを発生させるのをやめるステップをさらに含む、請求項11に記載の方法。
  13. シーズニング膜を堆積するステップが、前記チャンバの中へ、シリコン含有ガスおよび酸素ガスを、RFプラズマ源パワーを印加しながらそれぞれ約200〜400sccmおよび50〜100sccmの流速で流し込むステップを含む、請求項8に記載の方法。
  14. RFプラズマ源パワーが、前記加工物支持面の直径の両端に分離された対のポートに結合された、1対の互いに交差する外部の再入可能な管路に印加される、請求項13に記載の方法。
JP2010545860A 2008-02-06 2009-01-19 チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法 Expired - Fee Related JP4926280B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/069,424 2008-02-06
US12/069,424 US7968439B2 (en) 2008-02-06 2008-02-06 Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
PCT/US2009/000369 WO2009099519A1 (en) 2008-02-06 2009-01-19 Plasma immersion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces

Publications (3)

Publication Number Publication Date
JP2011511473A true JP2011511473A (ja) 2011-04-07
JP2011511473A5 JP2011511473A5 (ja) 2011-10-06
JP4926280B2 JP4926280B2 (ja) 2012-05-09

Family

ID=40932102

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010545860A Expired - Fee Related JP4926280B2 (ja) 2008-02-06 2009-01-19 チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法

Country Status (6)

Country Link
US (2) US7968439B2 (ja)
JP (1) JP4926280B2 (ja)
KR (1) KR101160006B1 (ja)
CN (1) CN101939821B (ja)
TW (1) TW200949912A (ja)
WO (1) WO2009099519A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
KR101519036B1 (ko) * 2009-04-20 2015-05-12 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버에 코팅하기 위한 장치 및 방법
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US20130288465A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Methods for filling high aspect ratio features on substrates
CN103887135B (zh) * 2012-12-24 2016-05-18 中国科学院微电子研究所 离子注入系统
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10325800B2 (en) 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6830030B2 (ja) * 2017-04-27 2021-02-17 新光電気工業株式会社 静電チャック及び基板固定装置
US10242893B2 (en) * 2017-06-20 2019-03-26 Applied Materials, Inc. Method and apparatus for de-chucking a workpiece using a swing voltage sequence
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1197430A (ja) * 1997-07-14 1999-04-09 Applied Materials Inc 高密度プラズマプロセスチャンバ
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2004014868A (ja) * 2002-06-07 2004-01-15 Tokyo Electron Ltd 静電チャック及び処理装置
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
JP2007538413A (ja) * 2004-05-20 2007-12-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法
JP2009004755A (ja) * 2007-05-15 2009-01-08 Applied Materials Inc トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3904505A (en) * 1970-03-20 1975-09-09 Space Sciences Inc Apparatus for film deposition
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1197430A (ja) * 1997-07-14 1999-04-09 Applied Materials Inc 高密度プラズマプロセスチャンバ
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2004014868A (ja) * 2002-06-07 2004-01-15 Tokyo Electron Ltd 静電チャック及び処理装置
JP2007538413A (ja) * 2004-05-20 2007-12-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法
JP2009004755A (ja) * 2007-05-15 2009-01-08 Applied Materials Inc トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入

Also Published As

Publication number Publication date
TW200949912A (en) 2009-12-01
WO2009099519A1 (en) 2009-08-13
CN101939821B (zh) 2013-01-30
KR20100120199A (ko) 2010-11-12
US7968439B2 (en) 2011-06-28
US20110207307A1 (en) 2011-08-25
KR101160006B1 (ko) 2012-06-25
US20090197401A1 (en) 2009-08-06
US8168519B2 (en) 2012-05-01
CN101939821A (zh) 2011-01-05
JP4926280B2 (ja) 2012-05-09

Similar Documents

Publication Publication Date Title
JP4926280B2 (ja) チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法
US8003500B2 (en) Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7288491B2 (en) Plasma immersion ion implantation process
US7465478B2 (en) Plasma immersion ion implantation process
US7094670B2 (en) Plasma immersion ion implantation process
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
US20050230047A1 (en) Plasma immersion ion implantation apparatus
US10930475B2 (en) Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
CN111564405B (zh) 用于处理基板的方法
JPH0635323B2 (ja) 表面処理方法
TWI609994B (zh) 電漿處理方法及電漿處理裝置
US7723219B2 (en) Plasma immersion ion implantation process with reduced polysilicon gate loss and reduced particle deposition
JP2019062045A (ja) ボロン系膜の平坦化方法およびボロン系膜の形成方法
KR20040025069A (ko) 공정 오염을 방지한 플라즈마 장비에서의 제어 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110819

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110819

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20110819

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20110921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111018

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120131

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120207

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees