JP2009004755A - トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入 - Google Patents

トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入 Download PDF

Info

Publication number
JP2009004755A
JP2009004755A JP2008127472A JP2008127472A JP2009004755A JP 2009004755 A JP2009004755 A JP 2009004755A JP 2008127472 A JP2008127472 A JP 2008127472A JP 2008127472 A JP2008127472 A JP 2008127472A JP 2009004755 A JP2009004755 A JP 2009004755A
Authority
JP
Japan
Prior art keywords
gas
chamber
pedestal
wafer
wafer support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2008127472A
Other languages
English (en)
Other versions
JP2009004755A5 (ja
Inventor
Shijian Li
リ シジアン
Lily L Pang
エル. パン リリー
Majeed A Foad
エー. フォアド マジード
Seon-Mee Cho
チョ セオン−メー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009004755A publication Critical patent/JP2009004755A/ja
Publication of JP2009004755A5 publication Critical patent/JP2009004755A5/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】シーリングと円筒形側壁とシーリングに面するウエハ支持ペデスタルを有するリアクタチャンバの内部に極めて均一なシーズニング膜を有するプラズマ浸漬イオン注入を行う方法を提供する。
【解決手段】ウエハ支持ペデスタル108の周辺に複数のガス噴射オリフィス120を有するガス分配リング200を準備するステップであって、オリフィス120がウエハ支持ペデスタル108から半径方向に外に向いて面している前記ステップを含む。シリコン含有ガスは、リングのガス分配オリフィスを通って導入されて、シリコン含有ガスの半径方向に外向きのフローパターンを確立する。リアクタは、側壁に隣接したシーリング内でその対向する側に対のコンジットポート114と、たいていはチャンバの直径にわたり且つそれぞれ対のポートに結合されたそれぞれの外部コンジットとを含む。
【選択図】図1

Description

背景
[0001]半導体ウエハのプラズマ浸漬イオン注入は、典型的には、ウエハ表面においてP-N接合を形成するために用いられる。プラズマ浸漬イオン注入(P3i)プロセスは、他の注入プロセスより高速又はより生産的である。必要な注入又は接合の深さを得るために、ウエハ表面でのイオンエネルギーは比較的高くなければならず、充分に高いRFバイアス電力をウエハに、又はウエハ支持ペデスタル内の電極に印加することによって達成できる。P3iリアクタチャンバは、通常、それらの表面が陽極酸化されてある保護を与えているアルミニウム要素からとチャンバ内のプラズマから構成される。一つの問題は、イオン注入中のプラズマの高イオンエネルギーが金属チャンバ要素のイオン衝撃を引き起こし、プラズマの中に蒸発してチャンバ全体に拡散すると共にウエハ上に堆積する金属パーティクルを除去することである。高イオンエネルギーは、数十又は数百キロボルト程度のプラズマバイアス電圧を生成するのに充分なレベルでRFバイアス電力をウエハに結合することによって得られる。ウエハのこのような金属汚染によって、ウエハ表面上に形成されるデバイスに欠陥が生じ得る。
発明の概要
[0002]シーリングと円筒状側壁とシーリングに面するウエハ支持ペデスタルを有するリアクタチャンバの内部の極めて均一なシーズニング膜においてプラズマ浸漬イオン注入を行う方法が提供される。前記方法は、ウエハ支持ペデスタルの周辺に複数のガス噴射オリフィスを有するガス分配リングを準備するステップであって、オリフィスがウエハ支持ペデスタルから半径方向に外向きに面している前記ステップを含む。シリコン含有ガスは、リングのガス分配オリフィスを通って導入されて、シリコン含有ガスの半径方向に外向きのフローパターンを確立する。リアクタは、側壁に隣接したシーリング内で両側に対のコンジットポートと、たいていはチャンバの直径にわたりそれぞれの対のポートに結合されたそれぞれの対の外部コンジットとを含む。上記方法は、更に、コンジットポートを通ってチャンバへ酸素ガスを噴射して、チャンバ内に酸素ガスの軸方向に下向きのフローパターンを確立するステップを含む。ペデスタルのウエハ支持面を曝すようにペデスタルがウエハを含まないまま、RF電力をコンジットのそれぞれの内部に結合して、チャンバを通過するSi化学種のトロイダルプラズマ流を生成して、チャンバ内の表面上にSi物質のシーズニング層を堆積させる。シーズニング層堆積の完了時に、ウエハはペデスタル上に載置される。イオン注入前駆ガスは、シーリング全体に伸びているガス分配プレートを通ってチャンバへ導入される。プラズマ浸漬イオン注入は、RF電力をコンジットのそれぞれの内部へ結合して、注入化学種をウエハへ注入するようにチャンバを通過する注入化学種流のトロイダルプラズマを生成することによって行われる。前記方法は、更に、ウエハの下に横たわる電極にRFバイアス電力を印加して、プラズマシースバイアス電圧をキロボルト程度で得るステップを含む。
[0003]本発明の上記実施形態が得られ詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明を、添付の図面に示される本発明の実施形態によって参照してもよい。しかしながら、添付の図面は本発明の典型的な実施形態のみを示し、それ故、本発明の範囲を限定するものとしてみなされるべきでなく、本発明は他の等しく有効な実施形態を許容することができることは留意すべきである。
[0009]理解を容易にするために、可能な場合には、図に共通である同一の要素を示すために同一の符号が用いられている。図面における図は全て概略図であり、一定の比率で縮小していない。
詳細な説明
[0010]金属汚染がP3iプロセス中に起こることを最小にするか又は防止するために、プラズマ浸漬イオン注入の前に、また、ウエハをチャンバへ導入する前に、チャンバ内部表面が非金属“シーズニング”膜で被覆される。指定された限度より金属汚染が減少する、シーズニング膜の理想的な厚さは、経験的方法を用いて容易に求められる。通常、最小の厚さは1000オングストローム程度であるが、より良好な結果は2000オングストロームのようなより理想的な厚さで得られる。シーズニング膜は、P3iプロセス後に除去されなければならず、その後に、膜の一部がP3iプロセス中に不均一な方法で除去されるか又はその厚さが減少することから取り替えなければならないので、続いてのP3iステップ中に金属汚染から適切な保護を与えないことがある。このことは、イオン注入プラズマがイオン注入化学種のフルオライド化合物を含むガスから形成される場合に特に当てはまる。シーズニング膜除去ステップは、チャンバを外部(“下流”)プラズマ源から得られるシーズニング除去ガス化学種で充填することによって行うことができる。このようなガスは、腐食化学種、例えば、フッ素含有化合物であるのがよい。
[0011]シーズニング膜は、シリコン含有ガス(例えば、シラン)と酸素ガスをリアクタチャンバへ導入し、プラズマを発火させることによって、高密度プラズマ増強型化学気相堆積(HDPCVD)プロセスを用いて堆積させる。シリコン-酸素化合物のラジカル、中性及び/又はイオンはプラズマ中に形成され、内部チャンバ表面上に堆積して、例えば、SiO及び/又はSiの薄膜又はコーティングを形成する。問題は、シーズニング膜の厚さが、ガスフローの不均一性、チャンバ全体に不均一なRF電源又は電界分配、また、チャンバ内部におけるある機械的特徴によって与えられる妨害があることから極めて不均一であることである。より少ないガスフロー領域のチャンバ表面について、シーズニング膜堆積速度が最も遅い場合に、最小限必要とされるシーズニング膜厚(例えば、1000オングストローム)は、過度のシーズニング膜厚(例えば、12,000オングストローム)が高ガスフローと最高堆積速度の他の領域で到達されるまで到達されない。結果は、シーズニング堆積ステップがかかるに違いないより長くかかり、生産性が低下する。更に、注入後シーズニング除去プロセスは、シーズニング膜のより厚い(12000オングストローム)部分を除去する前にシーズニング膜が充分に最も薄かった(1000オングストローム)チャンバ内部表面を曝す。それ故、注入後シーズニング除去ステップ中に最初にさらされるチャンバ表面は、洗浄ステップの残りで洗浄ガスによって攻撃され、要素の寿命が短くなり、リアクタの作動コストが増大する。
[0012]関連した問題は、P3iプロセス中と注入後シーズニング除去(洗浄)プロセス中にシーズニング膜の除去速度の不均一な分配から生じる。P3iプロセス中の除去速度は、P3iプラズマが主としてウエハ-シーリングギャップ又はプロセスゾーン内で濃縮されることから均一ではない。例えば、シーズニング前駆ガスがチャンバ底から導入される場合、あるチャンバ要素の底に面する表面、例えば、ウエハペデスタルを支持する半径方向支柱は、最も厚いコーティングを受ける。残念なことに、これらの表面は主プラズマから離れて(例えば、ウエハシーリングギャップから離れて)面するので、ほとんどイオン衝撃を受けず、それ故、P3iステップで厚さが最も減少する。最大シーズニング膜から始まり、その後、P3iステップで少なくとも攻撃されるので、このような表面は、偏った厚さのシーズニング膜を持ち、それ故、他のチャンバ表面を腐食性洗浄ガスに過度にさらさずに洗浄するのが難しい。
[0013]図1は、上記問題を解決するガス分配特徴部を有するプラズマ浸漬イオン注入のためのトロイダルソースプラズマリアクタを示す図である。これらのガス分配特徴部は、前注入シーズニング堆積ステップに用いられて、極めて均一であるチャンバ内部全体にシーズニング膜を形成する。特徴部の一部は、本明細書に述べられるように、シーズニング膜の化学量論を制御するために用いることができ、所望される特性を達成する。
[0014]図1のリアクタは、円筒状側壁102で画成される円筒状チャンバ100と、シーリング104と、床106とを含む。ウエハ支持ペデスタル108は、半導体ウエハ112を保持するためのウエハ支持面を有する静電チャック110を含む。シーリング104は、二対の開口部114を有し、それぞれ互いに直交する外部の凹部コンジット116、118が結合されている。各コンジット116、118は、ペデスタル108とシーリング104の間のギャップで画成されたプロセス領域を通過する振動プラズマ流の閉鎖凹部路を完了する。シーリング104は、チャンバ内部と内部ガス分配マニホールド122に面するガス噴射オリフィス120のアレイを有するガス分配プレートである。任意に、マニホールド122は、半径方向に内部と外部122a、122bに分けられてもよく、オリフィス122の独立した内部と外部のガス噴射ゾーン(又はグループ)124a、124bを与える。この場合、一対の別個に制御されるガス源126a、126bは、内部と外部のマニホールド122a、122bに結合される。一対のプラズマRF源電力発生器128、130は、それぞれのインピーダンス整合132、134と電力アプリケータ136、138を経てコンジット116、118のそれぞれの内部にRF電力を印加するために結合される。それぞれの電力アプリケータ136、138は、それぞれコンジット116又は118の周りに巻かれた磁気的に透過性のコア又はリング140と、リング140の周りに巻かれた導電コイル142からなる同じ構造であってもよい。静電チャック(ESC)110は、導電性電極110aと電極110aが含有する絶縁層110bからなる。RFバイアス電力発生器142は、インピーダンス整合144を通ってESC電極110aに結合される。DCチャック電圧源146は、ESC電極110aに結合される。ペデスタル108は、側壁102から、また、ペデスタル108の下に内向きに伸びている、図2に最良に示される三つの半径方向支柱150-1、150-2、150-3上に支持される。
[0015]プラズマ浸漬イオン注入中、注入化学種前駆ガス、例えば、ホウ素注入ステップの場合にはフッ化ホウ素又は水素化ホウ素は、シーリングガス分配プレート104を通って噴射され、プラズマ源電力は、発生器128、130によって印加されて、ウエハの上にあるプロセス領域を通って凹部路に変動する閉鎖プラズマ流を得る。このために、イオン注入プロセスガス源180は、ガス分配プレート104の内部と外部のガスマニホールド122a、122bに結合される。任意に、RF発生器142は、バイアス電力をESC110に印加して、イオンエネルギー、従って、注入の深さを制御してもよい。ガス分配プレート104は、ウエハの表面又はESC110のウエハ支持面全体に均一なガス分配を最適化するが、チャンバ内部全体に均一なガス分配を構成しない。それ故、ガス分配プレート104は、それだけで、チャンバ全体にシーズニング膜を堆積させるのに用いるのに適切ではない。
[0016]チャンバ内に均一なシーズニング膜を与えるガス分配特徴部は、シリコン含有ガスを噴射するペデスタル108の側壁に沿ってガス噴射オリフィス202の中心アレイを含む。オリフィス202の中心アレイは、ペデスタル108の側壁上に支持され、ペデスタルの周辺に伸びている中空ガス分配リング200内に形成される。図示された実施形態において、ペデスタル108は、静電チャック(ESC)110を支持する。ESCは、絶縁層110の下に横たわる導電性ベース204を含む。ベース204には、実用性の内部特徴部、例えば、冷却剤通路や裏面ガスフロー通路(図示せず)が含まれるのがよい。図示された実施形態において、ガス分配リング200は、ベース204の外周に結合される。リング200上のガス噴射オリフィス202は、上向きの方向にシリコン含有ガスを噴射するように水平なウエハ平面に相対して角度Aに向けることができる。このことは、内部チャンバ特徴部の上向きに面する表面、例えば、ESC110のウエハ支持面や半径方向支柱150の上面上のより良好な堆積を促進させる。シリコン含有(例えば、シラン)ガス源206は、ガス分配リング200の中空内部に結合される。
[0017]酸素ガスは、シーリング104内の四つのコンジットポート114を通ってシーズニング膜堆積ステップ中に噴射される。このために、コンジット噴射オリフィス210は、ガスをコンジットポート114のそれぞれの近くのコンジット116、118に噴射する。酸素ガス源212は、コンジット噴射オリフィスのそれぞれに結合される。コンジットポート114を通る酸素噴射は、チャンバの側面近くにより酸素を多く含むガス混合物、それ故、チャンバの中心より上に、即ち、ESC110のウエハ支持面より上によりシリコンを多く含むガス混合物を促進させる。このことは、コンジットポート114が全てシーリング104の周辺近くに位置するからである。
[0018]注入前シーズニング膜堆積ステップ中、ウエハ112は存在せず、注入プロセスガスはガス分配プレート104に供給されない。それ故、ガス分配プレート104は、シーズニング膜堆積ステップで用いるのに利用できる。任意に、ガス分配プレート104は、シーズニング層の厚さ分配と化学量論分配を制御するためにシーズニング膜堆積中に利用されてもよい。例えば、チャンバの中心の上(ペデスタル108の上)のガスのシリコン含量の増加は、更に、シリコン含有ガス(シラン)をガス分配プレート104の中央(内部)ガス分配ゾーン124を通って噴射することによって可能になり得る。このために、例えば、内部ゾーンガス源126aはシランを貯蔵する。中央でシリコン含有ガスの優勢から減少させずに上向きに面した表面上により薄い膜を促進するために、酸素ガスはガス分配プレート104の外部ガス噴射ゾーン124bを通って噴射させることができる。この場合、外部ゾーンガス供給126bは酸素ガスを貯蔵する。チャンバの周辺と中央におけるシリコンと酸素の割合は、内部と外部のゾーン122a、122bへ異なるガス流量だけでなく、コンジット噴射オリフィス210やガス分配リング200へのガス流量によって制御されるか又は影響される。図3は、図1のリアクタのガス噴射要素のそれぞれからの化学種によって上記ガスフローをまとめた図である。
[0019]ガス分配リング200の位置(例えば、軸方向の高さ)とガス分配リング200のオリフィス202の角度Aは、Siのコーティングの所望される均一性を達成するように調節される。酸素とSiHは、コーティング又はシーズニング堆積の化学量論を制御するためにオリフィス202を通って噴射するための分配リング200に制御された速度で供給し得る。
[0020]我々は、上記の特徴部が問題の不均一シーズニング堆積を解決することが分かった。本発明以前のシーズニング厚さは、ある上向きに面する表面上に約1000オングストロームの最小からある下向きに面する表面上に約12,000オングストロームの最大まで変動したが、本発明はシーズニング厚さが非常に小さな変動を与え、我々は最大約3000-4000オングストロームを超えずに非常に大きい最小厚さ(約2000オングストロームの)を確立することを可能にする。この大きな最小厚さは非常に短い堆積時間で達成され、除去ステップは非常に急速に行われるので、スループットが増大する。
[0021]図4は、上記ガス分配特徴部により制御され得るシーズニング層のSi-O化学両論の所望される半径分配を示すグラフである。詳細には、ウエハペデスタル108の上により多くのシラン(シリコン含有)ガスと周辺により多くの酸素ガスを与えることによって、Siシーズニング膜(例えば、x:yの速度)の化学量論は、中央でシリコンを多く含む割合と周辺でシリコンの少ない割合を有するように分配される。利点は、より高い導電性を有する物質が、必要とされる場合に、即ち、ESC110上に供給されることである。ESC110を覆うシリコンを多く含む混合物のより高い導電性は、ウエハのチャック開放中にウエハ上の電荷がより迅速に除去されるべきこと可能にし、より良好なスループット又は生産性になる。ウエハ上の電荷が消散するより導電性の通路を与えることによって、DCチャック電圧源のスイッチを切ってウエハをチャック開放する場合に、ウエハをESC110に保持する静電クランプ力がより迅速に除去される。図4において、シリコンの割合(x)はペデスタル108の上で最大であり、酸素の割合(y)は同じ領域において最小である。図4のグラフに示されるように、関係は周辺で逆になる。xの適度な値は1であり、yは2である。堆積されたシーズニング膜の半径方向内部と外部の領域の間に図4のグラフに示される化学量論態様は、周辺への(例えば、コンジットポート114を通って)酸素ガスフローに相対して中央への(例えば、ガス分配プレート104を通って又はガス分配リング200を通って)シリコン含有ガスフローを増加することによって増大する。
[0022]図5は、注入前シーズニング堆積と、プラズマ浸漬イオン注入と、注入後洗浄又はシーズニング除去とを含むプロセスを示す図である。全サイクルはペデスタル108上にウエハを含まずに開始する(図5のブロック250)。注入前シーズニング堆積について、シリコン含有ガス(例えば、シラン)は、ガス噴射オリフィス202を通ってペデスタル108の側面の周りに噴射され(ブロック252)、酸素ガスは、外部の凹部コンジット116、118(ブロック254)のシーリングポート114を通って噴射される(ブロック254)。所望によるステップは、シーリングガス分配プレート104を通ってシランか又は酸素を噴射することである。シランの場合には、流量は適度な1:2のシリコンと酸素との比の二酸化シリコンの上のESC110上に堆積されるシーズニング層のシリコン含量の約2-10%増大を達成するように調節される。RF電力をアプリケータ136、138か又はESC電極110aに印加することによってプラズマが生成されて、チャンバ内部表面上にSiシーズニング膜を堆積させる(ブロック258)。任意によるステップ(ブロック260)は、図4に従って、シーリングガス分配プレート104を通るガスフロー(例えば、シランか又は酸素)を調節して、静電チャックのウエハ支持面のシーズニング膜のシリコン割合(x:y)の所望の濃縮を達成することである。その後、所望のシーズニング膜厚(例えば、200オングストローム)が到達された後、シーズニング堆積プロセスを停止させる(ブロック264)。ウエハをペデスタル108に載せる(ブロック264)。プラズマ浸漬イオン注入は、注入化学種含有プロセスガスを導入し且つRF源電力をRF電力アプリケータ136、138に印加することによって行われる(ブロック266)。このステップ中、イオンエネルギー(注入深さ)は、RFバイアス電力をESC電極110aに発生器142から印加することによって制御することができる。注入ステップの完了時に、ウエハを取り出す(ブロック268)。シーズニング膜は、例えば、下流プラズマ源からシーズニング膜エッチングガスを導入することによって除去される(ブロック270)。
[0023]上記は、本発明の実施形態に関するが、本発明の他の多くの実施形態が本発明の基本的範囲から逸脱することなく構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。
図1は、一態様によるプラズマリアクタの側断面図である。 図2は、図1に対応する切断上面図である。 図3は、化学種によるガスフローパターンを示す図1に対応する簡易図である。 図4は、図3のガスフローパターンに従って制御されたシーズニング層の化学量論の態様を示すグラフである。 図5は、図1のリアクタによって行われるプロセスを示す図である。
符号の説明
100…円筒状チャンバ、102…円筒状側壁、104…シーリングガス分配プレート、106…フロア、108…ウエハ支持ペデスタル、110a…静電チャック電極、110b…絶縁層、112…半導体ウエハ、114…コンジットポート、116…コンジット、118…コンジット、120…ガス噴射オリフィス、122a…内部ガス分配マニホールド、122b…外部ガス分配マニホールド、124a…内部ガス噴射ゾーン、124b…外部ガス噴射ゾーン、128…プロセスRF源電力発生器、130…プロセスRF源電力発生器、140…磁気透過性リング、142…導電コイル、144…インピーダンス整合、146…D.C.チャック電圧源、150-1…半径方向支柱、150-2…半径方向支柱、150-3…半径方向支柱、200…中空ガス分配リング、202…ガス噴射オリフィス、204…導電性ベース、210…コンジット噴射オリフィス、212…酸素ガス源。

Claims (14)

  1. シーリングと円筒状側壁と該シーリングに面するウエハ支持ペデスタルを有するリアクタチャンバ内でプラズマ浸漬イオン注入を行う方法であって:
    ウエハ支持ペデスタルの周辺に複数のガス噴射オリフィスを有する該ガス分配リングを準備するステップであって、前記オリフィスが前記ウエハ支持ペデスタルから半径方向に外向きに面している、前記ステップと;
    前記リングの該ガス分配オリフィスを通ってシリコン含有ガスを導入して、前記シリコン含有ガスの半径方向に外向きのフローパターンを確立するステップと、
    該側壁に隣接した前記シーリング内で両側に対のコンジットポートを準備し、前記チャンバの直径に概してわたり且つそれぞれの対の前記ポートに結合されたそれぞれの外部コンジットを準備するステップと;
    酸素ガスを該コンジットポートを通って前記チャンバに噴射して、該チャンバ内に酸素ガスの軸方向に下向きのフローパターンを確立するステップと;
    該ペデスタルのウエハ支持面を曝すように前記ペデスタルがウエハを含まないまま、RF電力を前記コンジットのそれぞれの内部に結合して、前記チャンバを通過するSi化学種のトロイダルプラズマ流を生成して、前記チャンバ内の表面上にSi物質の層を堆積させるステップと;
    該ペデスタル上にウエハを載置するステップと;
    該シーリング全体に伸びているガス分配プレートを通って該チャンバへイオン注入前駆ガスを導入するステップと;
    RF電力を前記コンジットのそれぞれの内部に結合して、前記注入化学種を前記ウエハに注入するように前記チャンバを通過する注入化学種流のトロイダルプラズマを生成させるステップと;
    を含む、前記方法。
  2. RFバイアス電力を電極に印加して、プラズマシースバイアス電圧をキロボルト程度で得るステップを更に含む、請求項1に記載の方法。
  3. 前記ペデスタルが、ウエハ支持面を与える静電チャックを備え、前記方法が、前記ウエハ支持面上に堆積される該シーズニング層の部分の導電性を増強して、該ウエハを放出するための導電路を充分に与えて、該ウエハを該ウエハ支持面から静電的に脱クランプするステップを更に含む、請求項1に記載の方法。
  4. 導電性を増強する該ステップが、前記ペデスタルの該ウエハ支持面上にSiのシリコンを多く含む形と前記側壁近くのチャンバ表面上にSiの酸素を多く含む形を堆積させるように前記チャンバ内の前記シリコン含有ガスと前記酸素ガスの流速を調節する工程を含む、請求項3に記載の方法。
  5. 調節する工程が、シリコン含有ガスを該ガス分配プレートを通って噴射する工程を含む、請求項4に記載の方法。
  6. 前記ガス分配リングの前記オリフィスを前記ペデスタルの該ウエハ支持平面に向かう方向に向けるステップを更に含む、請求項1に記載の方法。
  7. 前記ガス分配プレートが、内部と外部のガス噴射ゾーンを備え、前記シリコン含有ガスが、前記内部ガス噴射ゾーンを通って噴射され、前記工程が、前記外部噴射ゾーンを通って酸素を噴射することを含む、請求項5に記載の方法。
  8. シーリングと円筒状側壁と該シーリングに面するウエハ支持ペデスタルを有するリアクタチャンバ内でプラズマ浸漬イオン注入を行う方法であって:
    ガス分配ペデスタルの側面の半径方向に面するガス分配オリフィスを通ってシリコン含有ガスを導入して、前記シリコン含有ガスの半径方向に外向きのフローパターンを確立するステップと;
    酸素ガスを前記チャンバの凹部コンジットのコンジットポートを通って噴射して、該チャンバの周辺領域に軸方向に下向きのフローパターンを確立するステップと;
    該ペデスタルのウエハ支持面を曝すようにペデスタルがウエハを含まないまま、RF電力を前記コンジットのそれぞれの内部に結合して、前記チャンバを通過するSi化学種のトロイダルプラズマ流を生成させて、前記チャンバ内の表面上にSi物質の層を堆積させるステップと;
    ウエハを該ペデスタル上に載置するステップと;
    該シーリング全体に伸びているガス分配プレートを通って該チャンバにイオン注入前駆ガスを導入するステップと;
    RF電力を前記コンジットのそれぞれの内部に結合して、前記注入化学種を前記ウエハに注入するように前記チャンバを通過する注入化学種流のトロイダルプラズマを生成させるステップと;
    を含む、前記方法。
  9. RFバイアス電力を電極に印加して、プラズマシースバイアス電圧をキロボルト程度に得るステップを更に含む、請求項8に記載の方法。
  10. 前記ペデスタルが、ウエハ支持面を与える静電チャックを備え、前記方法が、前記ウエハ支持面上に堆積される該シーズニング層の部分の導電性を増強して、該ウエハを放出するための導電路を充分に与えて、該ウエハを該ウエハ支持面から静電的に脱クランプするステップを更に含む、請求項8に記載の方法。
  11. 該導電性を増強する該ステップが、前記ペデスタルの該ウエハ支持面上にSiのシリコンを多く含む形と前記側壁近くのチャンバ表面上にSiの酸素を多く含む形を堆積させるように前記チャンバ内に前記シリコン含有ガスと前記酸素ガスの流量を調節する工程を含む、請求項10に記載の方法。
  12. 調節する工程が、該ガス分配プレートを通ってシリコン含有ガスを噴射する工程を含む、請求項11に記載の方法。
  13. 前記半径方向に外向きに面するオリフィスを前記ペデスタルの該ウエハ支持平面に向かって上向きの方向の角度にし、これにより、軸方向要素を前記半径方向に外向きのフローパターンで確立するステップを更に含む、請求項8に記載の方法。
  14. 前記ガス分配プレートが、内部と外部のガス噴射ゾーンを備え、前記シリコン含有ガスが、前記内部ガス噴射ゾーンを通って噴射し、前記工程が、酸素ガスを前記外部噴射ゾーンを通って噴射することを更に含む、請求項12に記載の方法。
JP2008127472A 2007-05-15 2008-05-14 トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入 Ceased JP2009004755A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/748,783 US7691755B2 (en) 2007-05-15 2007-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor

Publications (2)

Publication Number Publication Date
JP2009004755A true JP2009004755A (ja) 2009-01-08
JP2009004755A5 JP2009004755A5 (ja) 2011-06-30

Family

ID=40027953

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008127472A Ceased JP2009004755A (ja) 2007-05-15 2008-05-14 トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入

Country Status (5)

Country Link
US (1) US7691755B2 (ja)
JP (1) JP2009004755A (ja)
KR (1) KR100993104B1 (ja)
CN (1) CN101308784B (ja)
TW (1) TW200915396A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011511473A (ja) * 2008-02-06 2011-04-07 アプライド マテリアルズ インコーポレイテッド チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法
KR20150127033A (ko) * 2013-03-12 2015-11-16 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008050596A1 (fr) * 2006-10-25 2008-05-02 Panasonic Corporation Procédé de dopage par plasma et appareil de dopage par plasma
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
JP2011054376A (ja) 2009-09-01 2011-03-17 Ihi Corp Lpp方式のeuv光源とその発生方法
EP2475229A4 (en) 2009-09-01 2015-03-18 Ihi Corp PLASMA LIGHT SOURCE
CN102296275B (zh) * 2010-06-25 2013-10-16 中国科学院微电子研究所 基片离子均匀注入的方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
CN103165377B (zh) * 2011-12-12 2016-02-03 中国科学院微电子研究所 一种等离子体浸没注入电极结构
CN103915307B (zh) * 2012-12-31 2016-08-17 中微半导体设备(上海)有限公司 等离子体处理室及用于该等离子体处理室的气体注入装置
CN104576280B (zh) * 2013-10-23 2017-10-20 中微半导体设备(上海)有限公司 等离子体处理腔室及其去夹持装置和方法
CN104810238A (zh) * 2014-01-23 2015-07-29 北京北方微电子基地设备工艺研究中心有限责任公司 匀气结构及等离子体系统
JP6242288B2 (ja) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP6804280B2 (ja) * 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
US20190051495A1 (en) * 2017-08-10 2019-02-14 Qiwei Liang Microwave Reactor For Deposition or Treatment of Carbon Compounds
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
WO2020101838A1 (en) 2018-11-16 2020-05-22 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
CN112376029B (zh) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 等离子体浸没离子注入设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0922797A (ja) * 1994-07-18 1997-01-21 Applied Materials Inc ガスの添加、チャンバ直径の減少及びrfウエハペデスタル直径の減少によりプラズマ均一性が向上したプラズマリアクタ
US20020146512A1 (en) * 2001-02-08 2002-10-10 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20050191827A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process
WO2005114692A2 (en) * 2004-05-20 2005-12-01 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6197123B1 (en) * 1997-12-18 2001-03-06 Texas Instruments Incorporated Method for cleaning a process chamber used for manufacturing substrates during nonproduction intervals
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0922797A (ja) * 1994-07-18 1997-01-21 Applied Materials Inc ガスの添加、チャンバ直径の減少及びrfウエハペデスタル直径の減少によりプラズマ均一性が向上したプラズマリアクタ
US20050191827A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process
US20020146512A1 (en) * 2001-02-08 2002-10-10 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
WO2005114692A2 (en) * 2004-05-20 2005-12-01 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011511473A (ja) * 2008-02-06 2011-04-07 アプライド マテリアルズ インコーポレイテッド チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法
KR20150127033A (ko) * 2013-03-12 2015-11-16 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR20180014258A (ko) * 2013-03-12 2018-02-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR102104018B1 (ko) 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR20200043538A (ko) * 2013-03-12 2020-04-27 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR102152858B1 (ko) 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR102176189B1 (ko) 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체

Also Published As

Publication number Publication date
KR20080101740A (ko) 2008-11-21
CN101308784B (zh) 2012-06-20
TW200915396A (en) 2009-04-01
CN101308784A (zh) 2008-11-19
US7691755B2 (en) 2010-04-06
US20080286982A1 (en) 2008-11-20
KR100993104B1 (ko) 2010-11-08

Similar Documents

Publication Publication Date Title
JP2009004755A (ja) トロイダルソースリアクタのための極めて均一なチャンバシーズニングプロセスにおけるプラズマ浸漬イオン注入
JP7180999B6 (ja) 複数の前駆体の流れのための半導体処理チャンバ
JP7176860B6 (ja) 前駆体の流れを改善する半導体処理チャンバ
US8097120B2 (en) Process tuning gas injection from the substrate edge
US9941113B2 (en) Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
US8097082B2 (en) Nonplanar faceplate for a plasma processing chamber
TW200409827A (en) Plasma processing apparatus
KR101420709B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
KR20140115795A (ko) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
JP2009004755A5 (ja)
KR101632376B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US11495456B2 (en) Ozone for selective hydrophilic surface treatment
JP2001189308A (ja) プラズマ処理装置及びプラズマ処理方法
KR101017163B1 (ko) 고밀도 플라즈마 화학기상증착장치
US20200144034A1 (en) Target object processing method and plasma processing apparatus
KR101614032B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
KR20190122577A (ko) 기판처리장치
JP7249358B2 (ja) 基板処理装置
JP2001185494A (ja) マグネトロンプラズマ処理装置及びプラズマ処理方法
TWI670391B (zh) 具有氣體分佈及個別泵送的批次固化腔室
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス
TW202422697A (zh) 用於處理基板的設備
KR20080072247A (ko) 가스 분배 플레이트 및 이를 이용한 반도체 제조 장치
JPH0851082A (ja) 半導体製造装置のサセプタ

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110511

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110511

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130723

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20131126