CN101308784B - 用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入 - Google Patents

用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入 Download PDF

Info

Publication number
CN101308784B
CN101308784B CN2008100975877A CN200810097587A CN101308784B CN 101308784 B CN101308784 B CN 101308784B CN 2008100975877 A CN2008100975877 A CN 2008100975877A CN 200810097587 A CN200810097587 A CN 200810097587A CN 101308784 B CN101308784 B CN 101308784B
Authority
CN
China
Prior art keywords
wafer
chamber
gas
silicon
base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008100975877A
Other languages
English (en)
Other versions
CN101308784A (zh
Inventor
施建·李
莉莉·L·潘
马吉德·A·福德
善-美·邱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101308784A publication Critical patent/CN101308784A/zh
Application granted granted Critical
Publication of CN101308784B publication Critical patent/CN101308784B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供了一种用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入方法,该腔室具有顶部侧壁和柱状侧壁以及面向该顶部的支撑底座。该方法包括在晶片支撑底座的外围上设置具有多个气体注入孔的气体分配环,该孔从晶片支撑底座径向朝外。通过该环的气体分配孔将含硅气体导入,以建立含硅气体的径向朝外的流型。反应器包括在顶部中邻近相对侧部的侧壁处的成对管道口,并且各个外部管道通常横跨腔室的直径并耦接到每对管道口。该方法进一步包括通过管道口将氧气注入到腔室,以在腔室中建立氧气的轴向向下的流型。RF功率耦合到每个管道的内部,以产生穿过腔室的SixOy物种的环形等离子体流,从而在腔室内的表面上沉积SixOy材料的干燥层,同时使底座没有晶片,以便暴露底座的晶片支撑表面。

Description

用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入
技术领域
本发明涉及用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入。 
背景技术
半导体晶片的等离子体浸没离子注入通常用于在晶片表面形成P-N结。等离子体浸没离子注入(P3i)工艺比其他注入工艺更快或产率更高。为了获得需要的注入或结深,晶片表面上的离子能量必须相对高,这可通过向晶片或者晶片支撑底座内的电极施加足够高的射频(RF)偏置功率而实现。P3i反应器腔室通常由铝组件构造,其表面被阳极化以在腔室中提供一些保护并隔绝等离子体。一个问题在于离子注入期间等离子体的高离子能量会产生对金属腔室部件的离子轰击,去除金属颗粒,其中该金属颗粒蒸发成等离子体遍布在整个腔室中并沉积在晶片上。高离子能量通过将在充足级别下的RF偏置功率耦接到晶片来获得,以产生几十或上百千伏量级的等离子体偏置电压。晶片的这类金属污染可能在形成于晶片表面上的器件中引起缺陷。 
发明内容
本发明提供一种用于在反应器腔室内部体积上执行具有高均匀干燥(seasoning)膜的等离子体浸没离子注入方法,该腔室具有顶部侧壁和柱状侧壁以及面向该顶部的支撑底座。该方法包括在晶片支撑底座的外围上设置具有多个气体注入孔的气体分配环,该孔从晶片支撑底座径向朝外。通过该环的气体分配孔将含硅气体导入以建立含硅气体的径向朝外的流型。反应器包括在顶部中邻近相对侧部的侧壁处的成对管道口,并且各个外部管道通常横跨腔室的直径并耦接到每对管道口。该方法进一步包括通过管道口将氧气注入到腔室以在腔室中建立氧气的轴向向下流型。RF功率耦合到每个管道的内部,以产生 穿过腔室的SixOy物种的环形等离子体流,从而在腔室内的表面上沉积SixOy材料的干燥层,同时使底座没有晶片,以便暴露底座的晶片支撑表面。在完成干燥层沉积完成时,将晶片放置在该底座上。通过延伸跨越顶部的气体分配板,将离子注入前驱气体导入腔室。等离子体浸没离子注入通过将RF功率耦接到每个管道的内部以产生穿过腔室的注入物种电流的环形等离子体以便将注入物种注入到晶片中而完成。该方法可进一步包括将RF偏置功率施加到位于晶片下方的电极以产生千伏量级的等离子体鞘偏置电压。 
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施例对以上简要所述的本发明进行更具体描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以允许其他等同的有效实施例。 
图1是根据本发明的一个技术方案的等离子体反应器的剖面侧视图; 
图2是对应图1的剖面顶视图; 
图3是对应图1的简化图,其显示根据物种的气体流型; 
图4是描述根据图3的气体流型控制的干燥层的化学计量(stochiometry)的变化图; 
图5是描述由图1的反应器执行的工艺图。 
为便于理解,尽可能用相同的附图标记表示附图中共同的相同元件。附图中的图都是示意性的并不按比例绘制。 
具体实施方式
为了在P3i工艺期间使金属污染最小或防止金属污染发生,在等离子体浸没离子注入之前和晶片导入腔室之前,腔室内部表面可用非金属“干燥”膜涂覆。使用经验方法容易确定将金属污染降低到规定限制以下的干燥膜的理想厚度。最小厚度通常是 
Figure DEST_PATH_GA20182411200810097587701D00021
的数量级,虽然更理想的厚度诸如 可获得更好的结果。在P3i工艺后干燥膜必须被去除,以及其后在P3i工艺期间由于部分膜以非均匀的方式被去除—或者其厚度降低—而被替代,因此其不能在后续的P3i步骤期间提供隔离金属污染的充分保护。这尤其存在于在离子注入等 离子体由包括混合了离子注入物种的氟化物的气体形成的情形下。干燥膜去除步骤可通过用从外部(“下游”)等离子体源获得的干燥去除气体物种填充腔室而实施。所述气体可以是例如,诸如含氟化合物的腐蚀性物种。 
干燥膜通过将含硅气体(例如,硅烷)和氧气导入反应器腔室并点燃等离子体使用高密度等离子体增强化学气相沉积(HDPCVD)工艺沉积。硅-氧化合物的自由基、中子和/或离子在等离子体中形成,其沉积在内部体积腔室表面上以形成薄膜或例如,SiO2和/或SixOy的涂层。存在的问题在于由于气流的不均匀、整个腔室的不均匀RF功率或场分布、以及腔室内部的一些机械部件具有的阻挡,导致干燥膜的厚度高度不均匀。对于较低气体流动的区域的腔室表面,干燥膜沉积速率最低,直到在高气流和最高沉积速率的其他区域达到过量的干燥膜厚度(例如,12,000 
Figure S2008100975877D00031
)时,才获得要求的最小干燥膜厚度(例如,1000 
Figure S2008100975877D00032
)。结果是干燥沉积步骤花费的时间比应有的更长-降低产率。而且,在去除干燥膜(12,000 
Figure S2008100975877D00033
)的较厚部分之前,后注入干燥去除工艺暴露其中干燥膜最薄(1000 
Figure S2008100975877D00034
)的腔室内部表面。在后注入干燥去除步骤期间首先暴露的腔室表面从而在余下的清洁步骤期间受到清洁气体的破坏,导致缩短所述部件的工作寿命并增加反应器的操作成本。 
相关的问题由P3i工艺期间和后注入干燥去除(清洁)工艺期间干燥膜的去除速率的不均匀分布引起。由于P3i等离子体主要聚集在晶片-顶部间隙或工艺区中,因此在P3i步骤期间的去除速率不均匀。例如,在干燥前驱气体从腔室底部、部分腔室部件的朝底部的表面,诸如支撑晶片底座的径向支柱导入的情形下,获得最厚涂层。不合宜地,这些表面远离主要等离子体(即,远离晶片-顶部间隙)并从而几乎不会受到离子轰击,并因此在P3i步骤期间厚度降低最小。开始具有最厚的干燥层,然后在P3i步骤期间最少受破坏,这类表面具有不均衡厚度的干燥膜,因此难以在不将其他腔室表面过度暴露于腐蚀性清洁气体下清洁。 
图1示出解决前述问题的用于等离子体浸没离子注入的具有气体分配部件的环形源等离子体反应器。在预注入干燥沉积步骤期间使用这些气体分配部件以在整个腔室内部体积形成高度均匀的干燥膜。一些部件可用于控制干燥膜的化学计量以实现如在此将描述的所需特征。 
图1的反应器包括由柱状侧壁102、顶部104和底部106限定的柱状腔室 100。晶片支撑底座108包括静电卡盘110,其具有用于支撑半导体晶片112的晶片支撑表面。顶部104具有两对开口114,其耦接到各自相互正交的外部再入式管道(reentrant conduit)116、118。每个管道116、118构成振荡等离子体电流的闭合的再入式路径,所述振荡等离子体电流穿过由底座108和顶部104之间的间隙限定的处理区域。顶部104是气体分配板,其具有面向腔室内部的气体注入孔阵列120和内部气体分配歧管122。可选地,歧管122可以径向划分为内部和外部122a、122b,以建立孔122的独立的内部和外部气体注入区(或组)124a、124b。在该情形下,一对独立可控的气源126a、126b耦接到内部和外部歧管122a、122b。一对等离子体RF源功率发生器128、130耦接以将RF功率经由各个阻抗匹配132、134和功率施加器136、138施加到各个管道116、118。每个功率施加器136、138可以具有相同结构,其包括缠绕各个管道116或118的导磁磁心或环140,以及缠绕环140的导电线圈142。静电卡盘(ESC)110包括导电电极110a和包含电极110a的绝缘体层110b。RF偏置功率发生器142通过阻抗匹配144耦接到ESC电极110a。A.D.C(直流交流)卡盘电压源146耦接到ESC电极110a。底座108被支撑在三个径向支柱1501-1、150-2、150-3上,在图2中清楚示出,其从侧壁102和底座108下方径向延伸。 
在等离子体浸没离子注入期间,注入物种前驱气体,诸如在硼注入步骤情形中的硼氟化物或硼氢化物,通过顶部气体分配板104注入,同时等离子体源功率由发生器128、130施加,以产生通过晶片上方的处理区域的再入式路径中的振荡闭合等离子体电流。用于该目的,离子注入工艺气源180耦接到气体分配板104的内部和外部气体歧管122a、122b。可选地,RF发生器142将偏置功率施加到ESC110以控制离子能量并(由此)控制注入深度。气体分配板104最优化用于整个晶片的表面或ESC的晶片支撑表面上的均匀气体分布,但不构造为用于整个腔室内部的均匀气体分布。因此,气体分配板104本身,不适合在整个腔室沉积干燥膜中使用。 
设置在腔室中的均匀干燥膜气体的分配部件包括沿底座108的侧壁的中心阵列的气体注入孔202,用于注入含硅气体。中心阵列孔202形成在中空气体分配环200中,该中空气体分配环200支撑在底座108的侧壁上并围绕底座的外围延伸。在所示的实施方式中,底座108支撑静电卡盘(ESC)110。该 ESC包括在绝缘层110下方的导电基座204。基座204可包括用于诸如冷却剂通道和背侧气体流动通道(未示出)用途的内部部件。在所示的实施方式中,气体分配环200附接到基座204的外围。环200上的气体注入孔202可以相对于水平晶片平面成角度A定向,以便以向上的方向注入含硅气体。这有助于在内部腔室部件的朝上表面上的更好沉积,例如,诸如ESC110的晶片支撑表面和径向支柱150的顶表面。含硅气体(例如,硅烷)源206连接到气体分配环200的中空内部。 
在干燥膜沉积步骤期间通过顶部104中的四个管道口114注入氧气。用于该目的,管道注入孔210将气体注入到靠近每个管道口114的管道116、118中。氧气源212耦接到每个管道注入孔。氧气通过管道口114注入促使靠近腔室侧部有更多的富氧气体混合物,并从而在腔室的中心上方有更多的富硅气体混合物,即,在ESC 110的晶片支撑表面上方。这是由于管道口114都靠近顶部104的外围定位。 
在预注入干燥膜沉积步骤期间,晶片112不存在并且没有注入工艺气体供应到气体分配板104。因此,在干燥膜沉积步骤期间可以使用气体分配板104。可选地,气体分配板104在干燥膜沉积期间可被用于控制干燥层的厚度分布和化学计量分布。例如,腔室的中心上方(底座108上方)气体的硅含量的进一步增加可通过经由气体分配板104的中心(内部)气体分配区124注入含硅气体(硅烷)而实现。用于该目的,例如,该内部区气源126a储存硅烷。为了促使在朝上表面沉积更厚的薄膜,而不削弱中心处含硅气体占主要含量,可通过气体分配板104的外部气体注入区124b注入氧气。在该情形下,外部区气源126b储存氧气。腔室的中心和外围的硅与氧气的比例通过流入到内部区和外部区122a、122b的不同气体流速以及流入到管道注入孔210以及流入到气体分配环200的气体流速控制或影响。图3概述了来自图1的反应器的每个气体注入元件的根据物种的前述气体流动。 
气体分配环200的位置(例如,轴向高度)以及气体分配环200的孔202的角度A可以调整以实现SixOy涂层的预期均匀性。氧气和SiH4可以以可控的速率供应到气体分配环200,用于经过孔202注入,以便控制涂层或干燥沉积的化学计量。 
我们已经发现前述部件解决了不均匀干燥沉积的问题。然而,在本发明以 前,干燥厚度从一些朝上表面上最小值约1000 
Figure S2008100975877D00061
到在一些朝下表面上最大值约12,000 
Figure S2008100975877D00062
变化,本发明引起的厚度变化小得多,这允许我们建立更小的最小厚度(约2000 
Figure S2008100975877D00063
),而不超过最大值约3000-4000 
Figure S2008100975877D00064
。更大的最小厚度在更短的沉积时间获得,同时更快地实施去除步骤,由此增加了产量。 
图4是描述可用前述气体分配部件控制的干燥层的Si-O化学计量的预期径向分布图。具体地,通过在晶片底座108上方提供更多的硅烷(含硅气体)以及在外围提供更多的氧气,分布SixOy干燥膜的化学计量(即,x∶y比率),使得在中心具有富硅比例以及在外围具有少硅比例。该优点在于具有较高电导率的材料设置在需要的位置,即,ESC 110上。覆盖ESC 110的较高电导率的富硅混合物能使在释放晶片期间更快去除晶片上的电荷,获得更好的产量或产率。当切断D.C.卡盘电压源以释放晶片时,通过提供用于在晶片上充电的更导电的路径以耗散(dissipate),更快去除将晶片保持在ESC 110上的静电夹持力。在图4中,底座上方硅的比例(x)最大,而在同一区域氧的比例(y)最小。在外围,该关系相反,如图4的图中所示。x的标称值是1,而y的标称值是2。图4中所示的在所沉积的干燥膜的径向内部区域和外部区域之间的化学计量变化通过相对于流动到外围的氧气流量(例如,通过管道口114)增加流动到中心的含硅气体流量(例如,通过气体分配板104或通过气体分配环200)而增加。 
图5示出涉及预注入干燥沉积、等离子体浸没离子注入和后注入清洁或干燥去除的工艺。整个循环开始,底座108上没有晶片(图5的方框250)。对于预注入干燥沉积,含硅气体(例如,硅烷)通过围绕底座108的侧部的气体注入孔202注入(方框252)以及氧气通过外部再入式管道116、118的顶部口114注入(方框254)。可选步骤(方框256)是通过顶部气体分配板104注入硅烷或氧气。在硅烷情形下,调整流速以实现沉积在ESC 110上的干燥膜的硅含量比二氧化硅的标称硅与氧比1∶2增加2-10%。产生等离子体(通过将RF功率施加给任一施加器136、138或ESC电极110a),以在腔室内部体积表面上沉积SixOy干燥膜(方框258)。可选步骤(方框260)是调整通过顶部气体分配板104的气流(例如,或者硅烷或者氧气)以根据图4实现静电卡盘的晶片支撑表面上的干燥膜的预期富含硅比例(x∶y)。然后,在预期的干燥膜厚度(例如,2000 
Figure S2008100975877D00065
)已经达到之后,停止干燥沉积工艺(方框262)。 将晶片放置到底座108上(方框264)。通过导入注入含物种的工艺气体以及将RF源功率施加到管道RF功率施加器136、138来实施等离子体浸没离子注入(方框266)。在该步骤期间,可以通过将来自发生器142的RF偏置功率施加到ESC电极110a而控制离子能量(注入深度)。在注入步骤完成时,移除晶片(方框268)。通过导入例如来自下游等离子体源的干燥层蚀刻气体而去除干燥膜(方框270)。 
虽然前述描述针对本发明的实施方式,但在不偏离本发明的基本范围内可以设计本发明的其他和进一步实施方式,并且本发明的范围由以下权利要求书限定。 

Claims (16)

1.一种用于在具有顶部和柱状侧壁以及面向该顶部的晶片支撑底座的反应器腔室中执行等离子体浸没离子注入的方法,包括:
在晶片支撑底座的外围上设置具有多个气体注入孔的气体分配环,所述孔从晶片支撑底座径向朝外;
通过所述环的气体注入孔将含硅气体导入,以建立所述含硅气体的径向朝外的流型;
在顶部中,邻近相对侧部的所述侧壁处,设置成对管道口,以及设置独立的外部管道,所述外部管道通常横跨腔室的直径并耦接到所述每对管道口;
通过管道口将氧气注入到所述腔室,以在所述腔室中建立氧气的轴向向下流型;
将射频功率耦合到每个所述管道的内部,以产生穿过所述腔室的SixOy物种的环形等离子体流,从而在所述腔室内的表面上沉积SixOy材料的干燥层,同时使底座没有晶片,以便暴露所述底座的晶片支撑表面;
将晶片放置在所述底座上;
通过延伸跨越所述顶部的气体分配板,将离子注入前驱气体导入腔室;以及
将射频功率耦接到每个所述管道的内部,以产生穿过所述腔室的注入物种电流的环形等离子体,以便将所述注入物种注入到所述晶片中。
2.根据权利要求1所述的方法,其特征在于,进一步包括将射频偏置功率施加到电极以产生千伏量级的等离子体鞘偏置电压。
3.根据权利要求1所述的方法,其特征在于,所述底座包括具有晶片支撑表面的静电卡盘,所述方法进一步包括充分增加沉积在所述晶片支撑表面上的所述干燥层部分的电导率,以提供晶片放电的导电路径,以将所述晶片从所述晶片支撑表面静电释放。
4.根据权利要求3所述的方法,其特征在于,所述增加电导率的步骤包括调整所述腔室内所述含硅气体和所述氧气的流速,以便在所述底座的晶片支撑表面上沉积富硅形式的SixOy,以及在靠近所述侧壁的腔室表面上沉积富氧形式的SixOy
5.根据权利要求4所述的方法,其特征在于,所述调整步骤包括通过所述气体分配板注入含硅气体。
6.根据权利要求1所述的方法,其特征在于,进一步包括在朝向所述底座的晶片支撑平面的方向中将所述气体分配环的所述孔进行定向。
7.根据权利要求5所述的方法,其特征在于,所述气体分配板包括内部和外部气体注入区,所述含硅气体通过所述内部气体注入区注入,所述方法进一步包括通过所述外部气体注入区注入氧气。
8.一种用于在具有顶部和柱状侧壁以及面向该顶部的晶片支撑底座的反应器腔室中执行等离子体浸没离子注入的方法,包括:
通过在气体分配环的侧部处径向朝外的气体注入孔导入含硅气体,以建立所述含硅气体的径向朝外的流型;
通过所述腔室的再入式管道的管道口注入氧气,以在所述腔室的外围区域中建立氧气的轴向向下流型;
将射频功率耦接到每个所述管道的内部,以产生穿过所述腔室的SixOy物种的环形等离子体电流,从而在所述腔室内的表面上沉积SixOy材料层,同时使所述底座没有晶片以便暴露所述底座的晶片支撑表面;
将晶片放置在所述底座上;
将离子注入前驱气体通过延伸跨越所述顶部的气体分配板导入腔室;以及
将射频功率耦接到每个所述管道的内部,以产生穿过所述腔室的注入物种电流的环形等离子体,以便将所述注入物种注入到所述晶片中。
9.根据权利要求8所述的方法,其特征在于,进一步包括将射频偏置功率施加到电极以产生千伏数量级的等离子体鞘偏置电压。
10.根据权利要求8所述的方法,其特征在于,所述底座包括具有晶片支撑表面的静电卡盘,所述方法进一步包括充分增加沉积在所述晶片支撑表面上的所述干燥层部分的电导率,以提供晶片放电的导电路径,以将所述晶片从所述晶片支撑表面静电释放。
11.根据权利要求10所述的方法,其特征在于,所述增加电导率的步骤包括调整所述腔室内所述含硅气体和所述氧气体的流速,以便在所述底座的晶片支撑表面上沉积富硅形式的SixOy,以及在靠近所述侧壁的腔室表面上沉积富氧形式的SixOy
12.根据权利要求11所述的方法,其特征在于,所述调整步骤包括通过所述气体分配板注入含硅气体。
13.根据权利要求8所述的方法,其特征在于,进一步包括调整朝向所述底座的晶片支撑平面向上方向的所述径向朝外孔,从而建立成所述径向朝外流型的轴向部分。
14.根据权利要求12所述的方法,其特征在于,所述气体分配板包括内部和外部气体注入区,所述含硅气体通过所述内部气体注入区注入,所述方法进一步包括通过所述外部气体注入区注入氧气。
15.根据权利要求11所述的方法,其中所述底座上方的硅的比例x最大,而氧的比例y最小,并且该关系在外围正好相反。
16.根据权利要求11所述的方法,其中硅的比例x的范围在最小0.9和最大1.2之间,而氧的比例y的范围在最大2.1和最小0.8之间。
CN2008100975877A 2007-05-15 2008-05-15 用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入 Expired - Fee Related CN101308784B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/748,783 US7691755B2 (en) 2007-05-15 2007-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
US11/748,783 2007-05-15

Publications (2)

Publication Number Publication Date
CN101308784A CN101308784A (zh) 2008-11-19
CN101308784B true CN101308784B (zh) 2012-06-20

Family

ID=40027953

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100975877A Expired - Fee Related CN101308784B (zh) 2007-05-15 2008-05-15 用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入

Country Status (5)

Country Link
US (1) US7691755B2 (zh)
JP (1) JP2009004755A (zh)
KR (1) KR100993104B1 (zh)
CN (1) CN101308784B (zh)
TW (1) TW200915396A (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008050596A1 (fr) * 2006-10-25 2008-05-02 Panasonic Corporation Procédé de dopage par plasma et appareil de dopage par plasma
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
JP2011054376A (ja) 2009-09-01 2011-03-17 Ihi Corp Lpp方式のeuv光源とその発生方法
EP2475229A4 (en) 2009-09-01 2015-03-18 Ihi Corp PLASMA LIGHT SOURCE
CN102296275B (zh) * 2010-06-25 2013-10-16 中国科学院微电子研究所 基片离子均匀注入的方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
CN103165377B (zh) * 2011-12-12 2016-02-03 中国科学院微电子研究所 一种等离子体浸没注入电极结构
CN103915307B (zh) * 2012-12-31 2016-08-17 中微半导体设备(上海)有限公司 等离子体处理室及用于该等离子体处理室的气体注入装置
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
CN104576280B (zh) * 2013-10-23 2017-10-20 中微半导体设备(上海)有限公司 等离子体处理腔室及其去夹持装置和方法
CN104810238A (zh) * 2014-01-23 2015-07-29 北京北方微电子基地设备工艺研究中心有限责任公司 匀气结构及等离子体系统
JP6242288B2 (ja) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP6804280B2 (ja) * 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
US20190051495A1 (en) * 2017-08-10 2019-02-14 Qiwei Liang Microwave Reactor For Deposition or Treatment of Carbon Compounds
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
WO2020101838A1 (en) 2018-11-16 2020-05-22 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
CN112376029B (zh) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 等离子体浸没离子注入设备

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6197123B1 (en) * 1997-12-18 2001-03-06 Texas Instruments Incorporated Method for cleaning a process chamber used for manufacturing substrates during nonproduction intervals
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems

Also Published As

Publication number Publication date
KR20080101740A (ko) 2008-11-21
TW200915396A (en) 2009-04-01
CN101308784A (zh) 2008-11-19
US7691755B2 (en) 2010-04-06
JP2009004755A (ja) 2009-01-08
US20080286982A1 (en) 2008-11-20
KR100993104B1 (ko) 2010-11-08

Similar Documents

Publication Publication Date Title
CN101308784B (zh) 用于环形源反应器的具有高均匀腔室干燥工艺的等离子体浸没离子注入
US8097120B2 (en) Process tuning gas injection from the substrate edge
KR101451244B1 (ko) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US5449410A (en) Plasma processing apparatus
JP4329403B2 (ja) プラズマ処理装置
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
JP5073097B2 (ja) 電極アセンブリ、基板を処理するための装置および基板を処理するための方法
JP2009004755A5 (zh)
JP2003243379A (ja) プラズマバッフル装置
KR20170027799A (ko) 유전체 필름들의 라디칼-기반 증착을 위한 장치
JPH0521393A (ja) プラズマ処理装置
TW200533781A (en) Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
KR101420709B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
TW200409827A (en) Plasma processing apparatus
TWI744378B (zh) 工件處理裝置
KR20160134908A (ko) 기판 처리 장치
KR101632376B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR101614032B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JPH0922934A (ja) 処理中の基板上にプラズマを集中するための装置及び方法
US20180258531A1 (en) Diffuser design for flowable cvd
TWI834658B (zh) 用於處理基板的設備
KR20190122577A (ko) 기판처리장치
TW202422697A (zh) 用於處理基板的設備
JPS61119685A (ja) 平行平板型ドライエツチング装置
JP5691740B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120620

Termination date: 20140515