CN101685799A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN101685799A
CN101685799A CN200910173523A CN200910173523A CN101685799A CN 101685799 A CN101685799 A CN 101685799A CN 200910173523 A CN200910173523 A CN 200910173523A CN 200910173523 A CN200910173523 A CN 200910173523A CN 101685799 A CN101685799 A CN 101685799A
Authority
CN
China
Prior art keywords
metal level
area
semiconductor device
layer
grid structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910173523A
Other languages
English (en)
Other versions
CN101685799B (zh
Inventor
林思宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101685799A publication Critical patent/CN101685799A/zh
Application granted granted Critical
Publication of CN101685799B publication Critical patent/CN101685799B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种半导体装置的制造方法,包含:提供一具有一第一区域及一第二区域的半导体基材;形成一高介电常数介电层于该基材上;形成一金属层于该高介电常数介电层上,该金属层具有一第一功函数;保护该第一区域中的金属层,对该第二区域中的金属层进行一包含碳及氮的去耦合等离子体处理,以及形成一第一栅极结构于该第一区域中及形成一第二栅极结构于该第二区域中。该第一栅极结构包含高介电常数介电层及未经处理的金属层。该第二栅极结构包含高介电常数介电层及经处理过的金属层。本方法提供了简单且具有经济效益的单一金属层,适用于现有的CMOS技术工艺流程,因此可轻易地与现有的制造设备及装置技术作整合。

Description

半导体装置及其制造方法
技术领域
本发明涉及半导体装置,且特别涉及一种在高介电常数/金属栅极工艺中,具有N型功函数及P型功函数效能的单一金属的形成方法。
背景技术
半导体集成电路(IC)产业已经历过快速的成长。IC材料和设计的技术进步使得IC的生产世代不停地推新,每个世代都较前个世代有更小及更复杂的电路。然而,这些进步也增加了制造IC工艺的复杂性,因此IC工艺也需要有同样的进展才能实现更先进的集成电路IC工艺。
在集成电路的革新过程中,功能密度(亦即每个晶片区域上互连装置的数量)已普遍增加然而几何尺寸(亦即在工艺中所能创造的最小元件或线)也越来越小。这些缩小尺寸的工艺通常能增加产品效能和提供较低的相关成本。但某些尺寸的下降也产生相对较高的功率消耗(power dissipation)值,其可用低功率消耗的元件例如互补型金属氧化物半导体(CMOS)元件来因应。
为配合元件缩小化的趋势,各种材料被应用在CMOS装置的栅极电极(gate electrode)和栅极介电层(gate dielectric)。其所需要的是使用金属材料来作为栅极电极及使用高介电常数介电质作为栅极介电层来制造装置。然而,N型MOS装置(NMOS)及P型MOS装置(PMOS)各自的栅极电极需要不同的功函数。目前许多方法已尝试用以同时满足金属栅极的N型及P型的功函数,其中一种为使用多种金属及/或盖层作为栅极堆叠以满足N型及P型功函数。虽然此种方法可满足其原本的设计目的,然而却不能广泛地应用于各种情况。例如,此方法会增加NMOS及PMOS装置中的栅极堆叠的复杂性,而增加了图案化栅极堆叠的困难。
因此,业界需要的是在高介电常数/金属栅极工艺中形成一种具有N型功函数及P型功函数效能的单一金属的方法。
发明内容
本发明提供一种一种半导体装置的制造方法,包括:提供具有一第一区域及一第二区域之一半导体基材;形成一高介电常数介电层于该半导体基材上;形成一金属层于该高介电常数介电层上,该金属层具有一第一功函数;保护位于该第一区域的该金属层;对位于该第二区域的该金属层进行一包含碳及氮的去耦合等离子体(de-coupled plasma)处理;以及于该第一区域形成一第一栅极结构及于该第二区域形成一第二栅极结构,该第一栅极结构包含该高介电常数介电层及该金属层,该第二栅极结构包含该高介电常数层及该经处理的金属层。
本发明也提供一种半导体装置的制造方法,包括:提供具有一第一区域及一第二区域之一半导体基材;形成一高介电常数介电层于该半导体基材上;形成一N型功函数金属层(N型金属)于该半导体基材上;对位于该第二区域上的N型金属层进行一去耦合等离子体处理,使至少两种元素进入位于该第二区域上的N型金属层中,该至少两种元素至少距离位于该高介电常数介电层及该N型金属层之间之界面
Figure G2009101735235D00021
以上;进行一退火工艺;形成一多晶硅层于该第一区域的N型金属层上及该第二区域的经处理过的N型金属层上;以及形成一第一栅极结构于该第一区域中及形成一第二栅极结构于该第二区域中,该第一栅极结构包含该高介电常数介电层、该N型金属层及该多晶硅层,该第二栅极结构包含该高介电常数介电层、该经处理过的N型金属层及该多晶硅层。
本发明还提供一种半导体装置,包含:一具有一第一区域及一第二区域的半导体装置;一形成在该半导体基材中的隔离结构,用以隔离该第一区域及该第二区域;一形成在该第一区域中的第一晶体管,该第一晶体管具有一第一栅极结构,该第一栅极结构包含一界面层、一高介电常数介电层及一金属层;一形成在该第二区域中的第二晶体管;该第二晶体管具有一第二栅极结构,该第二栅极结构包含该界面层、该高介电常数介电层及该金属层,该金属层包含至少被混入两种元素,该至少两种元素距离该位于该高介电常数介电层及该金属层之间的界面至少
Figure G2009101735235D00022
以上,该至少两种元素使该金属层由一第一型功函数金属转变为一第二型功函数金属。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出优选实施例,并配合所附附图,作详细说明如下:
附图说明
图1为在高介电常数/金属栅极工艺中制造半导体装置的一实施例的流程图。
图2A~图2F为一系列依据图1所述方法制造半导体装置的剖面图。
【附图标记说明】
200~半导体装置202~基材
204~隔离结构206~NMOS装置
208~PMOS装置
210、210n、210p~界面层
212、212n、212p~高介电常数介电层
214~金属层
212n~N型金属
214p~P型金属
218~光致抗蚀剂层
225~去耦合等离子体处理
227~掺杂元素
240~多晶硅层
250~硬掩模层
261~NMOS装置的栅极图案
262~PMOS装置的栅极图案
281~NMOS装置的栅极结构
282~PMOS装置的栅极结构
具体实施方式
在本说明书的各种例子中可能会出现重复的元件符号以便简化描述,但这不代表在各个实施例及/或图示之间有何特定的关连。再者,当提到某一层在另一层“之上”或“上方”,可代表两层之间直接接触或中间还插有其他元件或膜层。各种元件可能以任意不同比例显示以使图示清晰简洁。
图1绘示在高介电常数/金属栅极工艺中,半导体装置的制造方法100的流程图。图2A至图2F绘示以图1方法100制造半导体装置200的一实施例于各个阶段相对应的工艺剖面示意图。并且,图1方法100中的部分步骤可应用于CMOS的制造流程中。因此,于方法100之前、之中或之后可提供额外的工艺,且其中某些工艺在此会作些简单的描述。此外,图2A至图2F仅为简化的图示以使本发明提供的概念能易于明了。
依据图1,方法100起始于方块110,其为在半导体基材上形成高介电常数材料。请参见图2A,半导体装置200包含例如为砖基材的半导体装置202。基材202可依照公知技术的需要包含各种掺杂形态。基材202也可包含其他元素半导体,例如锗或钻石。或者,基材202可包含化合物半导体及/或合金半导体。再者,基材202也可选择性地包含外延层(epi layer)、应变以增进效能(strained for performance enhancement)及绝缘层上覆硅(SOI)结构。
此半导体装置200可进一步包含隔离结构204,例如用以隔离基材202中的有源区206及208而形成于基材中的浅沟槽隔离(STI)元件。隔离结构204可由氧化硅、氮化硅、氮氧化硅、氟掺杂玻璃(FSG)及/或已公知的低介电常数材料形成。N型金属氧化物半导体晶体管装置(NMOS)为配置在有源区206上及P型金属氧化物半导体晶体管装置(PMOS)为配置在有源区208上。可以了解的是,半导体装置200的部分可由互补型金属氧化物半导体装置(CMOS)的工艺制造,故在此不多作赘述。
半导体装置200可进一步包含形成于基材202上的界面层210。界面层210可包含成长的氧化硅层,其厚度约为5至
Figure G2009101735235D00041
半导体装置200还可包含形成于界面层210上的高介电常数介电层212。高介电常数介电层212可包含HfO2。或者,高介电常数介电层212可选择性地包含其他高介电常数材料,例如HfSiO、HfSiON、HfTaO、HfTiO、HfZrO或前述的组合。高介电常数介电层212可由原子层沉积(ALD)、化学气相沉积(CVD)或其他合适技术形成。高介电常数介电层212的厚度约为10至
Figure G2009101735235D00042
接着,进行方块120的步骤,其为在高介电常数介电层上形成金属层,且该金属层具有第一功函数。半导体装置200还可包含在高介电常数介电层212上形成金属层214。此金属层214可包含N型功函数金属(N型金属)。例如,此金属层214可包含各种功函数小于4-33eV的各种金属。在一实施例中,此金属层包含钽或也可包含其他的N型金属(但不仅限于)锌、钛、铌、铝、银、锰、锆、铪及镧。金属层214可通过各种沉积技术像是物理气相沉积(PVD或溅镀)、化学气相沉积(CVD)、原子层沉积(ALD)、电镀或其他合适技术形成。此金属层214的厚度大于约
Figure G2009101735235D00051
在一实施例中,此金属层的厚度约在40至
Figure G2009101735235D00052
之间,优选为约
Figure G2009101735235D00053
接着,进行方块130的步骤,其为形成用以保护于该第一区域中的金属层的图案化掩模层。在本发明实施例中,可形成图案化光致抗蚀剂层218于NMOS装置206中的金属层214n上。此图案化光致抗蚀剂层218可通过光学微影(photolithography)、浸润式微影(immersion lithography)、离子束写入(ion-beam writing)或其他合适工艺形成。例如,光学微影工艺可包含旋转涂布(spin-coating)、软烘烤(soft-baking)、曝光、后烘烤(post-baking)、显影(developing)、润洗(rinsing)、干燥及其他合适工艺。或者,可形成图案化硬掩模层来取代光致抗蚀剂层以保护于NMOS装置206中的金属层214n。硬掩模层可由氧化硅、氮氧化硅、氮化硅或其他合适材料形成。首先可先形成图案化的光致抗蚀剂层于该硬掩模层上,然后再进行干或湿蚀刻以移除该硬掩模层位于PMOS区域中的部分。
接着,进行方块140的步骤,其为对在第二区域中未受保护的金属层进行去耦合等离子体工艺处理,如图2B所示。在本发明实施例中,对受到保护的金属层214p进行去耦合等离子体工艺处理225,此去耦合等离子体处理可使元素227混杂(incorporate)进入金属层214p的顶部,以使金属层214p的功函数由N型金属转变(或调整)为P型功函数金属(P型金属)。各种可作混杂的元素227包含碳、氮、硅、氧或前述任何可达到欲调整之功函数的组合。在一实施例中,可通过去耦合等离子体工艺225对钽(Ta)层进行碳化及氮化而转变为氮碳化钽(TaCN)层,而具有P型金属的效能。在各种实施例中,P型金属的功函数可约大于4.8eV。并且,可调整耦合式等离子体工艺225,以使元素227混杂进入的区域至少能距离位于金属层214p及高介电常数介电212之间的界面至少
Figure G2009101735235D00054
以上。如此,可降低或避免对高介电常数介电层212造成损伤的风险。并且,在NMOS装置206中的受保护的金属层214p也可继续维持为N型金属不变。如此,一单一金属层即可同时作为N型金属及P型金属。
去耦合等离子体工艺225可包含下列工艺参数在去耦合等离子体机台中进行:流量大于约100sccm的氮气(或其他包含氮的气体)、流量大于约100sccm的乙炔(或甲烷或其他含碳的气体)、大于约500W的射频功率(RFpower)(连续或脉冲式射频)、大于约10mTorr的压力及约在15至120秒之间的周期时间。值得注意的是,去耦合等离子体工艺225可提供高离子密度但低能量的等离子体,以使元素227仅混杂进入金属层214p的顶部界面,而不会穿透太深及降解(degrading)底下的高介电常数介电层212,这对于厚度仅有约为
Figure G2009101735235D00061
金属层214p是相当重要的。本发明在此仅揭示如上述少许实施例的各种参数,这些参数可用来调整达到所欲之功函数,而不会脱离本发明的精神及范围。例如,增加去耦合等离子体机台的射频功率及压力可创造出高离子密度但低离子能量的等离子体,以对金属轮廓在顶部表面作更多的修饰。
接着,进行方块150的步骤,其为进行退火工艺。进行退火工艺的温度范围约在800至1000℃之间。退火工艺可包含快速退火(RTA)、激光退火或其他合适的工艺。此退火工艺可确保PMOS装置208中的金属层214p中碳及氮元素的浓度,以稳定P型金属的功函数。如此,此退火工艺会促进金属层214p中碳及氮元素的键结。此退火工艺为在与已混杂元素(incorporated elements)相容的环境下进行,例如在氮气的环境下。
接着,进行方块160的步骤,其为在第一区域及第二区域中的金属层上形成多晶硅层,如图2C所示。图案化光致抗蚀剂层118可通过剥离(stripping)工艺或其他合适工艺移除,并可通过化学气相沉积(CVD)或其他合适沉积工艺来在N型金属214n及P型金属214p上形成多晶硅层240。此多晶硅层的厚度范围约在200至
Figure G2009101735235D00062
之间。
图2D显示为可在多晶硅层240上形成硬掩模层250。硬掩模层250可包含SiN、SiON、SiC、SiOC/PEOX、TEOS或其他合适材料。此外,可在硬掩模层250上形成抗反射涂布(anti-reflective coating;ARC)或底部抗反射涂布(bottom anti-reflective coating;BARC),其都为公知的技术。
图2E显示为可在硬掩模层250上形成为图案化的光致抗蚀剂层。此光致抗蚀剂层可包含用于NMOS装置206的栅极图案261及用于PMOS装置208的栅极图案262。栅极图案261、262可由光学微影、浸润式微影或其他合适工艺来形成。
接着,进行方块170的步骤,其为在第一区域中形成第一栅极结构及在第二区域中形成第二栅极结构。图2F显示为以栅极图案261、262作为掩模,进行干蚀刻或湿蚀刻工艺来图案化掩模层250,并使用硬掩模层来图案化NMOS装置206中的栅极结构281及PMOS装置中的栅极结构282。栅极结构281、282可由干蚀刻或湿蚀刻工艺形成(栅极蚀刻或图案化)。随后可使用公知的技术将栅极图案261、262移除。
NMOS装置206中的栅极结构281可包含多晶硅层240n、金属层214n、高介电常数介电层212n及界面层210n。PMOS装置208中的栅极结构282可包含多晶硅层240p、P型金属214p、高介电常数介电层212p。值得注意的是,为了对栅极进行图案化,N型金属214n及P型金属214p可具有相似的厚度,且因此对NMOS装置206及PMOS装置208中的栅极进行图案化相较于后栅极工艺中NMOS装置206及PMOS装置208具有各种不同栅极厚度时会较为简单。
可了解的是,方法100可更进一步进行CMOS技术工艺的流程以形成各种已公知的元件。例如,在两边的栅极结构281、282旁形成轻掺杂源极/漏极区(LDD区)。并且,可由沉积及蚀刻工艺在栅极结构的两侧形成侧壁或栅极间隔物。此极间隔物可包含合适的介电材料,像是氮化硅、氧化硅、碳化硅、氮氧化硅或前述的组合。并且,可使用合适的N型或P型掺质(依据装置的结构,例如NMOS及PMOS)进行离子注入或扩散以形成源极/漏极区(S/D区)。
此外,可在基材上形成各种接触点(contacts)/通孔(vias)、金属结构及多层内连线元件(例如金属层及层间介电层),以连接半导体装置200的各种结构及元件。例如,可由自我对准硅化(self-aligned silicide;salicide)形成硅化物元件,其步骤为在硅结构上形成金属层,然后升温作退火工艺并造成金属与其底下的硅进行反应而形成硅化物,接着再以蚀刻移除未反应的金属。可在各种元件像是源极、漏极及/或栅极电极上以自我对准硅化形成硅化物材料,减少接触电阻。并且,在基材上形成多个图案化的介电层及导电层,以形成多层内连线来连接各种P型及N型掺杂区域,像是源极、漏极、接触区域及栅极区域。在一实施例中,形成多层内连线(MLI)结构,并以层间介电层(ILD)相互隔离。在优选的例子中,此内连线结构包含在基材上形成接触点(contacts)、通孔、金属线。
本发明提供一种半导体装置,包含半导体、用以隔离第一区域及第二区域而形成在基材中的隔离结构,形成于第一区域中的第一晶体管,此第一晶体管具有第一栅极结构,其包含界面层、高介电常数介电层及混杂至少有两种元素的金属层,且此第一栅极结构中的金属层中的至少两种元素距离位于金属层及高介常数介电层之间的界面至少
Figure G2009101735235D00081
以上,此至少两种元素可使金属层由第一型功函数金属转变为第二型功函数金属,且此第二型功函数金属包含P型功函数金属。在其他实施例中,此金属层包含钽。在其他实施例中,此至少两种元素包含碳及氮。在一些其他实施例中,此金属层的厚度约为40至
Figure G2009101735235D00082
此外,虽然本发明在实施例中举例为可将N型金属转变为P型金属,然而,本发明也可应用于将P型金属转变成N型金属。并且,本发明所述的半导体装置并不仅限于晶体管,也可包含其他有源及无源装置,例如鳍式场效晶体管(FinFET)、高功率晶体管(high voltage transistor)、双载子晶体管(bipolar transistor)、电容、电阻、二极管、熔丝(fuse)或前述的组合。
本发明在各种实施例中达到了许多不同的优点。例如,本方法提供了简单且具有经济效益的单一金属层,其对NMOS装置及PMOS装置各自具有N型功函数金属及P型功函数金属。如此一来,欲对NMOS及PMOS装置中因相对应的栅极堆叠具有相似的厚度,使栅极结构的图案化变得相对较为简单。如此,NMOS装置及PMOS装置的性能变得更加可靠及可预测。并且,本方法适用于现有的CMOS技术工艺流程,因此可轻易地与现有的制造设备及装置技术作整合。另外,在本发明中于各个实施例会有不同的优点,且无须在每个实施例中都需要有特定的优点。
虽然本发明已以数个优选实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中的技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视后附的权利要求所界定的范围为准。

Claims (15)

1.一种半导体装置的制造方法,包括:
提供具有一第一区域及一第二区域的一半导体基材;
形成一高介电常数介电层于该半导体基材上;
形成一金属层于该高介电常数介电层上,该金属层具有一第一功函数;
保护位于该第一区域的该金属层;
对该位于第二区域的该金属层进行一包含碳及氮的去耦合等离子体处理;以及
于该第一区域形成一第一栅极结构及于该第二区域形成一第二栅极结构,该第一栅极结构包含该高介电常数介电层及该金属层,该第二栅极结构包含该高介电常数层及该经处理的金属层。
2.如权利要求1所述的半导体装置的制造方法,其中该去耦合等离子体处理包含大于500W的射频功率、大于10mTorr的压力、流速大于100sccm的一含氮气体、流速大于100sccm的含碳气体及介于15-120秒的时间周期。
3.如权利要求2所述的半导体装置的制造方法,其中该含氮气体包含氮气。
4.如权利要求2所述的半导体装置的制造方法,其中该含碳气体包含乙烯或甲烷。
5.如权利要求1所述的半导体装置的制造方法,其中该第一功函数包含一N型功函数金属。
6.如权利要求1所述的半导体装置的制造方法,其中该第一金属层包含钽。
7.如权利要求1所述的半导体装置的制造方法,还包含在处理过程之后进行一退火工艺,该退火工艺的温度介于约800到1000℃之间。
8.如权利要求1所述的半导体装置的制造方法,还包含:
形成一界面层于该半导体基材及该高介电常数之间;以及
形成一多晶硅层位于该第一区域的该未经处理的金属层及位于该第二区域的该处理过的金属层上;
其中该第一及该工艺第二栅极结构都包含该界面层及该多晶硅层。
9.一种半导体装置的制造方法,包括:
提供具有一第一区域及一第二区域的一半导体基材;
形成一高介电常数介电层于该半导体基材上;
形成一N型功函数金属层(N型金属)于该半导体基材上;
对位于该第二区域上的N型金属层进行一去耦合等离子体处理,使至少两种元素进入位于该第二区域上的N型金属层中,该至少两种元素至少距离位于该高介电常数介电层及该N型金属层之间的界面
Figure A2009101735230003C1
以上;
进行一退火工艺;
形成一多晶硅层于该第一区域的N型金属层上及该第二区域的经处理过的N型金属层上;以及
形成一第一栅极结构于该第一区域中及形成一第二栅极结构于该第二区域中,该第一栅极结构包含该高介电常数介电层、该N型金属层及该多晶硅层,该第二栅极结构包含该高介电常数介电层、该经处理过的N型金属层及该多晶硅层。
10.如权利要求9所述的半导体装置的制造方法,其中该至少两种元素包含碳、氮、硅或氧的组合。
11.如权利要求9所述的半导体装置的制造方法,其中该N型金属层包含钽、镧、铪、锆、铝或钛。
12.如权利要求9所述的半导体装置的制造方法,其中该去耦合等离子体处理过程包含大于500W的射频功率、大于10mTorr的压力、流速大于100sccm的含氮气体、流速大于100sccm的含碳气体及介于15-120秒的周期时间。
13.一种半导体装置,包含:
一具有一第一区域及一第二区域的半导体装置;
一形成在该半导体基材中的隔离结构,用以隔离该第一区域及该第二区域;
一形成在该第一区域中的第一晶体管,该第一晶体管具有一第一栅极结构,该第一栅极结构包含一界面层、一高介电常数介电层及一金属层;
一形成在该第二区域中的第二晶体管;该第二晶体管具有一第二栅极结构,该第二栅极结构包含该界面层、该高介电常数介电层及该金属层,该金属层包含至少被混入两种元素,该至少两种元素距离该位于该高介电常数介电层及该金属层之间的界面至少以上,该至少两种元素使该金属层由一第一型功函数金属转变为一第二型功函数金属。
14.如权利要求13所述的半导体装置,其中该金属层包含钽。
15.如权利要求13所述的半导体装置,其中该至少两种元素包含碳及氮。
CN2009101735235A 2008-09-15 2009-09-15 半导体装置及其制造方法 Active CN101685799B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9698908P 2008-09-15 2008-09-15
US61/096,989 2008-09-15
US12/364,289 2009-02-02
US12/364,289 US8778754B2 (en) 2008-09-15 2009-02-02 Method of forming a single metal that performs N and P work functions in high-K/metal gate devices

Publications (2)

Publication Number Publication Date
CN101685799A true CN101685799A (zh) 2010-03-31
CN101685799B CN101685799B (zh) 2012-02-01

Family

ID=42006440

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101735235A Active CN101685799B (zh) 2008-09-15 2009-09-15 半导体装置及其制造方法

Country Status (3)

Country Link
US (3) US8778754B2 (zh)
CN (1) CN101685799B (zh)
TW (1) TWI420652B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102280376A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
US20220302085A1 (en) * 2021-03-18 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including fuse structure and methods for forming the same

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778754B2 (en) 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
US8753931B2 (en) 2012-04-05 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective gate replacement process
US8969974B2 (en) * 2012-06-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
EP2750167A1 (en) * 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
US8975168B2 (en) * 2013-05-28 2015-03-10 Stmicroelectronics, Inc. Method for the formation of fin structures for FinFET devices
US9735012B2 (en) * 2015-03-25 2017-08-15 Globalfoundries Inc. Short-channel nFET device
EP3144958B1 (en) * 2015-09-17 2021-03-17 Soitec Structure for radiofrequency applications and process for manufacturing such a structure
US9515158B1 (en) 2015-10-20 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with insertion layer and method for manufacturing the same
KR20180059649A (ko) 2016-11-25 2018-06-05 삼성전자주식회사 반도체 장치의 제조 방법
US9825122B1 (en) 2017-02-23 2017-11-21 International Business Machines Corporation Multiple work function device using GeOx/TiN cap on work function setting metal

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6664186B1 (en) * 2000-09-29 2003-12-16 International Business Machines Corporation Method of film deposition, and fabrication of structures
US6645798B2 (en) * 2001-06-22 2003-11-11 Micron Technology, Inc. Metal gate engineering for surface p-channel devices
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
US7316950B2 (en) * 2003-04-22 2008-01-08 National University Of Singapore Method of fabricating a CMOS device with dual metal gate electrodes
US20040256679A1 (en) * 2003-06-17 2004-12-23 Hu Yongjun J. Dual work function metal gates and method of forming
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US20070023842A1 (en) * 2003-11-12 2007-02-01 Hyung-Suk Jung Semiconductor devices having different gate dielectric layers and methods of manufacturing the same
JP2005217176A (ja) * 2004-01-29 2005-08-11 Tokyo Electron Ltd 半導体装置および積層膜の形成方法
US7226826B2 (en) * 2004-04-16 2007-06-05 Texas Instruments Incorporated Semiconductor device having multiple work functions and method of manufacture therefor
US7338865B2 (en) * 2004-07-23 2008-03-04 Texas Instruments Incorporated Method for manufacturing dual work function gate electrodes through local thickness-limited silicidation
JP2006108355A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US7514310B2 (en) 2004-12-01 2009-04-07 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
JP4764030B2 (ja) * 2005-03-03 2011-08-31 株式会社東芝 半導体装置及びその製造方法
US7332388B2 (en) * 2005-03-08 2008-02-19 Micron Technology, Inc. Method to simultaneously form both fully silicided and partially silicided dual work function transistor gates during the manufacture of a semiconductor device, semiconductor devices, and systems including same
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
JP4855419B2 (ja) * 2005-12-13 2012-01-18 富士通株式会社 半導体装置の製造方法
US8124529B2 (en) * 2006-06-01 2012-02-28 Texas Instruments Incorporated Semiconductor device fabricated using a metal microstructure control process
JP4282691B2 (ja) * 2006-06-07 2009-06-24 株式会社東芝 半導体装置
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7842977B2 (en) * 2007-02-15 2010-11-30 Qimonda Ag Gate electrode structure, MOS field effect transistors and methods of manufacturing the same
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20100025778A1 (en) * 2008-07-31 2010-02-04 Chao-Sung Lai Transistor structure and method of making the same
US8778754B2 (en) 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102280376A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
WO2011153845A1 (zh) * 2010-06-08 2011-12-15 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
CN102280376B (zh) * 2010-06-08 2013-01-02 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
US8748250B2 (en) 2010-06-08 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Method for integration of dual metal gates and dual high-K dielectrics in CMOS devices
US20220302085A1 (en) * 2021-03-18 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including fuse structure and methods for forming the same
US11862609B2 (en) * 2021-03-18 2024-01-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including fuse structure and methods for forming the same

Also Published As

Publication number Publication date
US20150303062A1 (en) 2015-10-22
TW201013900A (en) 2010-04-01
US8778754B2 (en) 2014-07-15
US9633856B2 (en) 2017-04-25
TWI420652B (zh) 2013-12-21
US20140319619A1 (en) 2014-10-30
CN101685799B (zh) 2012-02-01
US9070681B2 (en) 2015-06-30
US20100065914A1 (en) 2010-03-18

Similar Documents

Publication Publication Date Title
CN101685799B (zh) 半导体装置及其制造方法
US10297511B2 (en) Fin-FET device and fabrication method thereof
US7923321B2 (en) Method for gap filling in a gate last process
US9893150B2 (en) Structure and method for semiconductor device
CN101714526B (zh) 半导体元件的制造方法
US7985690B2 (en) Method for a gate last process
US8372755B2 (en) Multilayer hard mask
CN101728273B (zh) Pmos元件及其制造方法
US7790622B2 (en) Methods for removing gate sidewall spacers in CMOS semiconductor fabrication processes
US10269659B2 (en) Semiconductor structure and fabrication method thereof
CN105405750A (zh) 半导体元件、在其中增加表面掺杂浓度的方法及形成方法
US10868133B2 (en) Semiconductor device structure and method for forming the same
KR101419122B1 (ko) 반도체 집적 회로 제조 방법
US8802523B2 (en) CMOS device and fabrication method
TW201724215A (zh) 半導體裝置及其製造方法
US9048287B1 (en) Mechanisms for forming semiconductor device structure with floating spacer
CN105244318A (zh) 一种半导体器件及其制造方法和电子装置
JP2009043938A (ja) 半導体装置および半導体装置の製造方法
CN111211055A (zh) 半导体结构及其形成方法
US9362123B2 (en) Structure and method for integrated devices on different substartes with interfacial engineering
JP2014049618A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant