CN101595243B - 使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法 - Google Patents

使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法 Download PDF

Info

Publication number
CN101595243B
CN101595243B CN200880003649.1A CN200880003649A CN101595243B CN 101595243 B CN101595243 B CN 101595243B CN 200880003649 A CN200880003649 A CN 200880003649A CN 101595243 B CN101595243 B CN 101595243B
Authority
CN
China
Prior art keywords
composition
group
metal
elect
metal precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200880003649.1A
Other languages
English (en)
Other versions
CN101595243A (zh
Inventor
马克伊安·瓦格纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101595243A publication Critical patent/CN101595243A/zh
Application granted granted Critical
Publication of CN101595243B publication Critical patent/CN101595243B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

揭露在半导体基板上沉积元素和金属M(0)薄膜的组合物和方法。一种揭露的方法包含:加热该半导体基板以获得加热过的半导体基板;将该加热过的半导体基板暴露于包含至少一种金属前体、过量的中性不稳定配位体和超临界溶剂的组合物中;将该金属前体暴露于该加热过的半导体基板上的或该加热过的半导体基板附近的还原剂和/或热能中;通过使用该还原剂和/或该热能,将该金属前体还原为该元素金属M(0);以及沉积该元素金属M(0)薄膜,同时使得金属氧化物的形成最小化。

Description

使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法
背景技术
在半导体制造领域,在半导体基板上沉积材料,比如具有等于零(M(0))的形式氧化状态的元素金属,可以通过多种技术进行,包括化学气相沉积(“CVD”)、物理气相沉积(“PVD”)和原子层沉积(“ALD”)。
传统的用于沉积金属薄膜的CVD工艺通过真空高温下金属前体的挥发进行。可用于CVD的金属前体的选择是受一些要求限制的,比如该金属前体的热稳定性和充分挥发性。当在CVD工艺中选中并挥发前体时,该金属前体通常只存在于低浓度的蒸汽(vapor)中。这可能导致受限的薄膜生长,带来非保形薄膜。而且,CVD的高温要求可能显著影响晶片处理过程中的热预算(thermal budget)。
至于金属前体的传统的PVD,PVD在基本上是受视线(line-of-sight)淀积工艺的特性限制的,该视线沉积工艺导致非保形的薄膜生长,而且无法在某些类型的高纵横比结构中沉积材料。PVD的其他缺点包括在PVD工艺中产生的显著的微粒水平、对沉积的薄膜的有限的控制和均一性的欠缺,以及与溅射材料的扩散有关的工艺控制问题。
传统的用于沉积很薄的薄膜的ALD工艺通过将基板暴露于交替的前体周期(alternating cycles of precursors)以生长原子薄膜。尽管能在原子级别上用精细的控制方式生长薄膜,准备非常非常薄的薄膜所需的极大数量的周期使得薄膜生长变慢。而且,重复的阀循环(阀循环)还会带来导致微粒的产生和维护问题。
目前,一些集成电路(“IC”)工艺要求对线路的前端和线路的后端应用,包括电容器电极、阻障和互连,的保形薄膜进行低成本的沉积。
上述的传统的CVD、PVD和ALD技术的一些限制表明,在该领域中有对于在半导体基板上沉积金属的改进方法的需求。
发明内容
依照第一实施方式,揭露一种用于在半导体基板上形成和沉积M(0)薄膜的组合物。该组合物包含至少一种金属前体;中性不稳定配位体;以及至少一种超临界溶剂。
该第一实施方式的该组合物可进一步包含(i)至少一种还原剂,和/或(ii)至少一种共溶剂。
该还原剂可以是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的。在其他实施方式中,该还原剂可以是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一个其他实施方式中,该还原剂可以是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。在优选实施方式中,该还原剂是氢气。
该共溶剂可以是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的。在其他实施方式中,该共溶剂可以是从从由甲醇、乙醇、氮烷基吡咯烷酮、氮芳基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯、碳酸亚丙酯、碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、丙烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇、二甲亚砜及其混合物组成的组中选出来的。
该第一实施方式的该金属前体的存在范围按重量计算可以是从约0.001到约20%。该超临界溶剂的存在范围按重量计算可以是从约10%到约99.9%。该第一实施方式中的组合物可以是无表面活性剂的。
该第一实施方式的该金属前体可以包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、锝、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。更优选地,该金属前体可以包含从由钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。最优选地,该金属前体可以包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属。
依照该第一实施方式的该中性不稳定配位体可以是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的。更优选地,该中性不稳定配位体可以是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约100∶1的比率存在的。最优选地,该中性不稳定配位体可以是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约1000∶1的比率存在的。
依照该第一实施方式的该中性不稳定配位体可以是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的。在其他实施方式中,该中性不稳定配位体可以是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的。在优选实施方式中,该中性不稳定配位体是从由CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的。
该超临界溶剂可以是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出的。
该金属前体中的该金属可以具有低于该金属的热力促进的氧化状态的氧化状态。
该元素金属M(0)薄膜可以包含一种或多种元素金属。在其他实施方式中,沉积一层或多层该元素金属M(0)薄膜。
依照第二实施方式,揭露一种用于在半导体基板上形成和沉积M(0)薄膜的组合物。该组合物包含:至少一种金属前体,其中该金属前体中的金属具有第一氧化状态,该第一氧化状态是该金属的热力促进的氧化状态;至少一种反应剂,其与该至少一种金属前体反应形成反应后金属前体,其中该反应后金属前体中的该金属具有第二氧化状态,且其中该第二氧化状态低于该第一氧化状态且是较低热力促进的;中性不稳定配位体;以及至少一种超临界溶剂。
依照该第二实施方式的该组合物进一步包含(i)至少一种还原剂,(ii)至少一种共溶剂,和/或(iii)至少一种除酸剂。
该还原剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的。在其他实施方式中,该还原剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一种其他实施方式中,该还原剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。在优选实施方式中,该还原剂是氢气。
在一些实施方式中,该还原剂可与该反应剂相同。
该共溶剂是从由从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的。在其他实施方式中,该共溶剂是从由甲醇、乙醇、氮烷基吡咯烷酮、氮芳基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯、碳酸亚丙酯、碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、丙烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇、二甲亚砜及其混合物组成的组中选出来的。
该除酸剂是从由吡啶、吡啶的衍生物、杂环化合物、胺、烯、硅烷、羧酸盐、铅盐、铅的氧化物、氧化镁、氧化铝、氧化锌、水滑石及其混合物组成的组中选出来的。在一些实施方式中,该除酸剂是从由吡啶、烷代吡啶及其混合物组成的组中选出来的。在优选实施方式中,该除酸剂是从由吡啶、C1-C6烷代吡啶的衍生物组成的组中选出来的。
该第二实施方式中的该至少一种金属前体的存在范围按重量计算是从约0.001到约20%。该超临界溶剂的存在范围按重量计算是从约10%到约99.9%。该第二实施方式的该组合物是无表面活性剂的。
该第二实施方式的该至少一种金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、锝、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。更优选地,该金属前体包含从钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。最优选地,该金属前体包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属。
该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的。更优选地,该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约100∶1的比率存在的。最优选地,该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约1000∶1的比率存在的。
依照该第二实施方式的该中性不稳定配位体是从由从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的。在其他实施方式中,该中性不稳定配位体是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的。在优选实施方式中,该中性不稳定配位体是从由CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的。
依照该第二实施方式的该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出的。
依照该第二实施方式的该反应剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的。在其他实施方式中,该反应剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一个其他实施方式中,该反应剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。在优选实施方式中,该反应剂是氢气。
该第二实施方式中的该元素金属M(0)薄膜包含一种或多种元素金属。在其他实施方式中,沉积一层或多层该元素金属M(0)薄膜。
依照第三实施方式,揭露一种在半导体基板上沉积元素金属M(0)薄膜的方法。该方法包含加热该半导体基板以获得加热过的半导体基板;将该加热过的半导体基板暴露于包含至少一种金属前体、过量的中性不稳定配位体和超临界溶剂的组合物中;将该金属前体暴露于该加热过的半导体基板上的或该加热过的半导体基板附近的还原剂和/或热能中;通过使用该还原剂和/或该热能,将该金属前体还原为该元素金属M(0);以及沉积该元素金属M(0)薄膜,同时使得金属氧化物的形成最小化。
该第三实施方式中的该元素金属M(0)薄膜包含一种或多种元素金属。在其他实施方式中,沉积一层或多层该元素金属M(0)薄膜。
该第三实施方式中的该组合物可进一步包含(i)至少一种还原剂,和/或(ii)至少一种共溶剂。
该还原剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的。在其他实施方式中,该还原剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一个其他实施方式中,该还原剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。在优选实施方式中,该还原剂是氢气。
该共溶剂是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的。在其他实施方式中,该共溶剂是从从由甲醇、乙醇、氮烷基吡咯烷酮、氮芳基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯、碳酸亚丙酯、碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、丙烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇、二甲亚砜及其混合物组成的组中选出来的。
该第三实施方式的该金属前体的存在范围按重量计算是从约0.001到约20%。该超临界溶剂的存在范围按重量计算是从约10%到约99.9%。该第三实施方式的该组合物是无表面活性剂的。
该第三实施方式的该金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、锝、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。更优选地,该金属前体包含从钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。最优选地,该金属前体包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属。
依照第三实施方式的该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的。更优选地,该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约100∶1的比率存在的。最优选地,该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约1000∶1的比率存在的。
依照该第三实施方式的该中性不稳定配位体是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的。在其他实施方式中,该中性不稳定配位体是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的,(xii)该中性不稳定配位体是由从CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的。
依照该第三实施方式的该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出来的。
该超临界溶剂可在约20℃到约150℃的温度下。
该半导体基板的温度可在约20℃到约450℃之间。
依照第三实施方式的该方法可以是在约1000psi到约7000psi的压强的容器中进行的。
依照第四实施方式,揭露一种在半导体基板上沉积元素金属M(0)薄膜的方法。该方法包含:在包含过量的中性不稳定配位体的超临界溶剂存在的情况下,将第一金属前体暴露于反应剂中,其中在该第一金属前体中的该金属具有第一氧化状态,其中该第一氧化状态是该金属的热力促进的氧化状态;在原地形成第二金属前体,其中该第二金属前体中的该金属具有第二氧化状态,且其中该第二氧化状态低于该第一氧化状态且是较低热力促进的;将该在原地形成的第二金属前体暴露于还原剂和/或热能中,将该在原地形成的第二金属前体还原为该元素金属M(0);以及在半导体基板上沉积该元素金属M(0)薄膜,同时使得金属氧化物的形成最小化。
依照该第四实施方式,该组合物进一步包含(i)至少一种还原剂,(ii)至少一种共溶剂,和/或(iii)至少一种除酸剂。
该还原剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的。在其他实施方式中,该还原剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一个其他实施方式中,该还原剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。在优选实施方式中,该还原剂是氢气。
在有些实施方式中,该还原剂与该反应剂相同。
该共溶剂是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的。在其他实施方式中,该共溶剂是从由甲醇、乙醇、氮烷基吡咯烷酮、氮芳基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯、碳酸亚丙酯、碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、丙烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇、二甲亚砜及其混合物组成的组中选出来的。
该除酸剂是从由吡啶、吡啶的衍生物、杂环化合物、胺、烯、硅烷、羧酸盐、铅盐、铅的氧化物、氧化镁、氧化铝、氧化锌、水滑石及其混合物组成的组中选出来的。在一些实施方式中,该除酸剂是从由吡啶、烷代吡啶及其混合物组成的组中选出来的。在优选实施方式中,该除酸剂是从由吡啶、C1-C6烷代吡啶的衍生物组成的组中选出来的。
依照该第四实施方式,该反应剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的。在其他实施方式中,该反应剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一个其他实施方式中,该反应剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。在优选实施方式中,该反应剂是氢气。
该第四实施方式的该金属前体的存在范围按重量计算是从约0.001到约20%。该超临界溶剂的存在范围按重量计算是从约10%到约99.9%。该第四实施方式的该组合物是无表面活性剂的。
该第四实施方式的该金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、technitium、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。更优选地,该金属前体包含从钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属。最优选地,该金属前体包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属。
依照该第四实施方式的该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的。更优选地,该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约100∶1的比率存在的。最优选地,该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约1000∶1的比率存在的。
依照该第四实施方式的该中性不稳定配位体是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的。在其他实施方式中,该中性不稳定配位体是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的。在优选实施方式中,该中性不稳定配位体是由从CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的。
该第四实施方式中的该元素金属M(0)薄膜包含一种或多种元素金属。在其他实施方式中,沉积一层或多层该元素金属M(0)薄膜。
依照该第四实施方式的该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出来的。
该超临界溶剂可在约20℃到约150℃的温度下。该半导体基板的温度可在约20℃到约450℃之间。
依照该第四实施方式的该方法可以是在约1000psi到约7000psi的压强的容器中进行的。
具体实施方式
此处揭露的各种实施方式是针对在半导体基板等基板表面上沉积材料的。此处揭露的方法和组合物包括使用超临界溶剂的化学流体沉积(“CFD”)的使用。
此处使用的术语“元素金属M(0)”或“M(0)”指的是一个或多个处于具有等于零(0)的形式氧化状态的元素形式的金属。
此处使用的术语“形式氧化状态”指的是根据公认的电子计数理论在金属前体中的金属上的推测电荷。
此处的金属的“热力促进的(Thermodynamically favored)氧化状态”指的是具有大体上稳定的价电子层的电子构象的金属前体中的金属。例如,Ta的热力促进的氧化状态是+5。
此处的金属的“热力不悦(Thermodynamically disfavored)的氧化状态”或“较少热力促进的”,指的是具有大体上非稳定价电子层的电子构象的金属前体中的金属。例如,Ta的非热力促进的氧化状态包括四(IV)、三(III)、二(II)、一(I)的氧化状态。
此处的“中性不稳定配位体(Neutral labile ligands)”包括(1)容易与金属联合和分离,并具有中性电荷从而使得在与金属结合时该金属的形式氧化状态不会增加的化学分子部分(moieties)或分子。
依照一个实施方式,揭露一种用于在半导体基板上形成和沉积M(0)薄膜的组合物。该组合物包含:至少一种金属前体;中性不稳定配位体;和至少一种超临界溶剂。
依照另一个实施方式,揭露一种用于在半导体基板上形成和沉积M(0)薄膜的组合物。该组合物包含:至少一种金属前体,其中该金属前体中的金属具有第一氧化状态,该第一氧化状态是该金属的热力促进的氧化状态;至少一种反应剂,该至少一种反应剂与该至少一种金属前体反应形成反应后金属前体(reacted metalprecursor),其中该反应后金属前体具有第二氧化状态,且其中该第二氧化状态低于该第一氧化状态并且是较少热力促进的;中性不稳定配位体;以及至少一种超临界溶剂。
该组合物可以进一步包含还原剂和/或共溶剂。该组合物可以是无表面活性剂的。
在一些实施方式中,该还原剂可以与该反应剂相同。
该金属前体的存在范围按重量计算是约0.001到约20%,该中性不稳定配位体的存在范围按重量计算是约0.001%到约90%,而该超临界溶剂的存在范围按重量计算在约10%到约99.9%。
该金属前体可以包含从一组金属中选出来的金属,该组金属由钪、钇、镧、锕、钛、锆、铪、钅卢(rutherfordium)、钒、铌、钽、钅杜(dubnium)、铬、钼、钨、钅喜(seaborgium)、锰、锝、铼、铍、铁、钌、锇、钅黑(hassium)、钴、铑、铱、钅麦(meitnerium)、镍、钯、铂、钅达、铜、银、金、锌、镉和汞组成。更优选地,该金属前体是从由钛、锆、钒、钽、铪、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉和汞组成的一组金属中选出来的金属。最优选地,该金属前体可以包含从由钽、铪、锆、钛、钨、钼和铬组成的一组金属中选出来的金属。
依照优选实施方式,中性不稳定配位体可以是从由醚、腈、硫醚、烯、炔、无环二烯(acyclic dienes)、芳香烃、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出的。
依照更优选的实施方式,该中性不稳定配位体可以是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、四庚酮(4-heptanone)及其混合物组成的组中选出的。
在Collman,L.S.Hegedus,J.R.Norton,R.G.Finke;UniversityScience Books;1987,pp 24-29中揭露了中性不稳定配位体的其他的实施例。
该超临界溶剂可以是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体(hydrogen forming gas)、六氟化硫及其混合物组成的组中选出的。
该还原剂可以是从由镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出的。在其它的实施方式中,该还原剂可以是从由氢、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物(heterocyclics)及其混合物组成的组中选出的。在又一个其它的实施方式中,该还原剂可以是从由锂铝氢化物(lithiumaluminum hydride)、氢化硼钠、铁氰化钾、萘化钠(sodiumnaphthalenide)、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出的。在优选实施方式中,该还原剂可以是氢。
共溶剂可以在沉积组合物中发挥作用并可以是任何合适的类型。说明性的物质包括但不限于甲醇、乙醇、和更高醇、氮烷基吡咯烷酮或氮芳基吡咯烷酮,比如氮甲基-、氮辛基或氮苯基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯和碳酸亚丙酯。
该共溶剂的其他的实施例包括碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇和/或二甲亚砜。
一种优选的沉积组合物是无表面活性剂的。可选地,可以向该沉积组合物添加表面活性剂。该表面活性剂可以是从由阴离子的、中性的、阳离子的和两性离子表面活性剂组成的组中选出的。优选地、该表面活性剂可以是从由炔醇和二醇、长烷基链仲和叔胺和它们各自的氟化类似物组成的组中选出的。
表面活性剂的其他的实施例可包括季铵盐和磷酸酯,聚乙烯、聚丙烯、聚烷基氧化物材料组成的三嵌段共聚物,三嵌段中性表面活性剂和/或聚氧乙烯烷基醚。
尽管二氧化碳是上述实施方式中的优选的超临界溶剂,任何其它的合适的超临界溶剂可以用于执行与二氧化碳一样的功能。可用于上述实施方式的其它的超临界溶剂包括超临界形式的并且可以穿透半导体基板的通孔、沟槽等开口的任何溶剂。优选地,该超临界溶剂是大体上是无极性的而且具有基本上可以忽略不计的表面张力。
例如,在公开号为2004/0187792的共同转让的美国授权前公开(Pre-Grant Publication)中揭露了有关合适的超临界溶剂的更多的细节,其全部内容通过参考并入此处。
依照进一步的实施方式,揭露使用上述实施方式中描述的组合物沉积金属薄膜的方法。
Ta等早期的过渡金属前体一般是正电性的、亲氧性的并且形成不稳定的低价复合物,其中该金属前体中的金属具有热力不悦的氧化状态。在该超临界流体处理过程中,该金属前体中的该金属上变得可用的任何空的配位点都趋向于被阴离子路易斯碱配位体(也就是氧化物、卤化物等)填充。金属和这些阴离子路易斯碱配位体的键在该金属形式氧化状态趋向于增加,使得它基本上难以准备并获得彻底还原的M(0)薄膜。
在早期的过渡金属前体上,有很强的热力驱动力来填充空的配位点,因此在该淀积工艺过程中甚至是极低水平的氧化源也可能会导致不想要的金属氧化物的形成。
尽管从超临界流体淀积工艺中去除所有的微量氧化源理论上是可能的,但是从实际的立场来看,难以成功地去除所有的微量的氧化源。而且,即使有可能去除所有的微量氧化源,基于效率和成本的考虑,这样的去除步骤可能使得该淀积过程是不适合的。
依照此处所述的各种实施方式,在淀积工艺过程中使用可以临时填充金属上的空的配位点的中性不稳定配位体。临时填充这些空的配位点可以实质上的消除金属的氧化,由此促进该金属完全还原为M(0)薄膜。
该中性不稳定配位体临时填充该空的配位点,因为在该中性不稳定配位体和该金属之间形成的键一般比金属和具有负形式电荷(negative formal charge)的路易斯碱(Lewis base)配位体之间的键大体上更弱。因为该中性不稳定配位体和该金属之间形成的弱的键,该中性不稳定配位体很容易从该金属分离。中性不稳定配位体的摩尔过量促进该金属前体中的该金属上的空的配位点的快速填充。由此该空的配位点的快速填充促进了该金属的低氧化状态的保持,其依次促进了该金属进一步被还原为其元素M(0)形式。
为了在超临界流体中沉积金属薄膜,可以使用有具有任何氧化状态的金属的金属前体。该金属前体可包含从由钪、钇、镧、锕、钛、锆、铪、钅卢(rutherfordium)、钒、铌、钽、钅杜(dubnium)、铬、钼、钨、钅喜(seaborgium)、锰、锝、铼、钅波(bohrium)、铁、钌、锇、钅黑(hassium)、钴、铑、铱、钅麦(meitnerium)、镍、钯、铂、钅达(darmstadtium)、铜、银、金、锌、镉和汞组成的一组金属中选择出来的金属。更优选地,该金属前体可以包含从由钛、锆、钒、钽、铪、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉和汞组成的一组金属中选择出来的金属。最优选地,该金属前体可以包含从由钽铪、锆、钛、钨、钼和铬中选择出来的金属。
早期的过渡金属可以是亲氧性的和正电性的,而且可以形成具有更高的形式氧化状态的热力稳定复合物。相反,对包含具有低形式氧化状态的正电性金属的金属前体的合成、存储和处理是非常有挑战性的。
依照一个实施方式,揭露一种在半导体基板上沉积元素金属M(0)薄膜的方法。该方法包含:加热该半导体基板以获得加热过的半导体基板;将该加热过的半导体基板暴露于包含至少一金属前体、过量的中性不稳定配位体和超临界溶剂的组合物中;将该金属前体暴露于在该加热过的半导体基板或在该加热过的半导体基板附近的还原剂和/或热能中;使用该还原剂和/或该热能将该金属前体还原为元素金属M(0);以及沉积该元素金属M(0)薄膜同时使得金属氧化物的形成最小化。
如上所述,当该金属前体中的该金属上的配位点变得可用时,上述工艺中所用的该中性不稳定配位体临时填充该配位点,以便这些空的配位点不被会增强该金属的氧化状态的阴离子路易斯碱配位体填充。
而且,如上所述,该中性不稳定配位体是可溶于该超临界溶剂的,而且可以被以大的摩尔过量添加以便当该低价金属前体上的配位点变得空闲时,它们可以被动力学地促进以填充任何空的配位点。该中性不稳定配位体可以以该中性不稳定配位体的摩尔数比该金属前体的摩尔数至少为约10∶1的比率存在,更优选地,该中性不稳定配位体可以以该中性不稳定配位体的摩尔数比该金属前体的摩尔数至少为约100∶1的比率存在,且最优选地,该中性不稳定配位体可以以该中性不稳定配位体的摩尔数比该金属前体的摩尔数至少为约1000∶1的比率存在。
中性不稳定配位体的摩尔过量促进该金属前体中的该金属上的空的配位点的快速填充。由此该空的配位点的快速填充促进了该金属的低氧化状态的保持,其反过来促进了该金属进一步被还原为其元素M(0)形式。
该还原剂可以是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出的。在其它的实施方式中,该还原剂可以是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的。在又一个其它的实施方式中,该还原剂可以是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出的。在优选实施方式中,该还原剂可以是氢气。
在一些实施方式中,该还原剂可以与该反应剂相同。
上述工艺中的该超临界溶剂可以在约20℃到约150℃的温度下。该半导体基板的温度可以是从约20℃到约450℃。进一步,上述工艺中的压强可以是从约1000psi到约7000psi。
依照另一个实施方式,揭露一种在半导体基板上沉积元素金属M(0)薄膜的方法。该方法包含:在存在包含过量的中性不稳定配位体的超临界溶剂的情况下,将第一金属前体暴露于反应剂中,其中该第一金属前体中的金属具有第一氧化状态,其中该第一氧化状态是该金属的热力促进的氧化状态;在原地形成第二金属前体,其中该第二金属前体中的该金属具有第二氧化状态,并且其中该第二氧化状态低于该第一氧化状态且是较低热力促进的;将该在原地形成的第二金属前体暴露于还原剂和/或热能中;将该在原地形成的第二金属前体暴露于该元素金属M(0);以及在半导体基板上沉积该元素金属M(0),同时使得金属氧化物的形成最小化。
上述工艺中的该组合物可以进一步包含(i)至少一还原剂,(ii)至少一共溶剂,和/或(iii)至少一除酸剂。
在一些实施方式中,该还原剂可以与该反应剂相同。在优选实施方式中,该还原剂可以是氢气。
该除酸剂可以是从由吡啶、吡啶的衍生物、杂环化合物、胺、烯、硅烷、羧酸盐、铅盐、铅的氧化物、氧化镁、氧化铝、氧化锌、水滑石及其混合物组成的组中选出来的。在一些实施方式中,该除酸剂可以是从由吡啶、烷代吡啶(alkyl substituted pyridines)及其混合物组成的一组中选出来的。在优选实施方式中,该除酸剂可以是从由吡啶、C1-C6烷代吡啶衍生物及其混合物组成的一组中选出来的。
便于制备、存储和操作的金属前体,因为其热力稳定的氧化状态,被用作上述工艺的起始材料。在还原为M(0)薄膜之前,该反应剂、还原剂和中性不稳定配位体可被用于在原地产生不稳定的低氧化状态金属前体。例如,在Zn/Mg混合物存在的条件下将该Ta(V)复合物CpTaCl4暴露于CO导致钽(I)物质TpTa(CO)4的形成。然后该在原地形成的Ta(I)复合物被用于通过与该还原剂和/或热的进一步反应生成期望的Ta(0)。这种方法的一个优点是该不稳定的Ta(I)复合物是在原地形成的,而且不需要被处理和存储。在此情况,该稳定的Ta(V)物质被用于产生该被彻底还原的Ta(0)薄膜。
这种方法的一个优点是早期的正电性过渡金属的更热力稳定的、更高价的物质可以更方便而有效地被制备、存储和处理。这些正电性金属的该相对不稳定的低氧化状态复合物仅仅恰好在形成M(0)薄膜之前在原地产生。
上述工艺中的该超临界溶剂可以在约20℃到约150℃的温度下。该半导体基板的温度可以是从约20℃到约450℃。
进一步地,上述工艺中的压强可以被维持在约1000psi到约7000psi。
例如,稳定的化合物,比如CpM(Cl)4,其中M是Ta或Nb,在存在CO和Zn/Mg反应剂,以及作为除酸剂的吡啶的情况下,可以被转化为低价的CpM(CO)4
通过使用SCF基(SCF-based)的沉积组合物,该前体组分可以在单一晶片处理室中不断循环以由此将该SCF基的沉积组合物暴露于该加热过的基板,并在该基板表面上沉积从该前体组合物衍生出的期望的M(0)薄膜。同时,该沉积操作的副产品可以经由该SCF基组合物的连续流动通过包含该加热过的底座和基板的淀积室而持续地从该沉积室中运出。
或者,使用该SCF基沉积组合物的沉积可以以分批模式进行,其中该沉积组合物是与该基板接触的,且改变该组合物的该工艺条件(例如温度和/或压强)以影响从该组合物中衍生的该期望的材料的沉积。
依照一个实施方式,可以在基板(比如半导体晶片基板)上进行厚达约1μm的金属薄膜的超临界溶剂辅助的沉积。
在一些实施方式中,该元素金属M(0)薄膜可以包含一种或多种元素金属。在其它的实施方式中,可以沉积一层或多层元素金属M(0)薄膜。
使用该SCF基沉积组合物的沉积可以以任何合适的方式进行,包括CVD及其他的用于在该半导体基板上沉积组合物的技术。
上述工艺可用于处理任何尺寸的半导体晶片,并且可以作为单片晶片或分批工艺进行。
上述工艺中使用的设备可以是任何传统上使用的用于单片晶片处理的设备,只要该设备可以承受超临界条件。例如,参看专利号为6,561,220、6,736,149、6,486,078的共同转让的美国专利,其通过参考并入此处。
等离子体刻蚀可以是在上述工艺的上游或下游进行的。等离子体刻蚀可以是在使用各种类型的刻蚀气体化学物质的各种类型的等离子体反应器中进行的。例如,参看专利号为6,893,969,名称为“Use of ammonia for etching organic low-k dielectrics”、专利号为6,841,483,名称为“Unique process chemistry for etching organic low-kmaterials”、专利号为6,620,733,名称为“Use of hydrocarbon additionfor the elimination of micromasking during etching of organic low-kdielectrics”以及专利号为6,337,277,名称为“Clean chemistry low-korganic polymer etch”的共同转让的美国专利。再参看专利号为6,909,195,名称为“Trench etch process for low k dielectrics”的美国专利以及公开号为2005/0026430,名称为“Selective etching ofcarbon-doped low-k dielectrics”的美国授权前专利公开,以获得对该等离子体刻蚀工艺的示例性的描述。
光阻灰化可以在使用各种光阻剥离气体化学物质的各种类型的等离子体室中进行。该光阻灰化工艺通常被称为“干燥”、“清洁”步骤。例如,参看专利号为6,949,411,名称为“Method for post-etchand strip residue removal on coral films”以及专利号为6,777,344,名称为“Post-etch photoresist strip with O2 and NH3 for organosilicateglass low-K dielectric etch applications”的共同转让的美国专利。再参看公开号为2005/0230351,名称为“Plasma processing method andapparatus”的美国授权前专利公开以及专利号为6,323,121,名称为“Fully dry post-via-etch cleaning method for a damascene process”的美国专利,以获得该光阻灰化工艺的示例性的描述。
一般的清洁工艺可以在上述金属淀积工艺的上游或下游进行。例如,参看专利号为6,277,203,名称为“Method and apparatus forcleaning low K dielectric and metal wafer surfaces”的共同转让的美国专利。参看公开号为2005/0279381,名称为“Method for cleaningmicrostructure”的美国授权前专利公开,以及专利号为6,457,477,名称为“Method of cleaning a copper/porous low-k dual damasceneetch”的美国专利,以获得对该通用清洁工艺的示例性的描述。
阻障层沉积工艺可在金属沉积工艺的上游或下游进行。例如,参看公开号为2006/0102895,名称为“Precursor compositions forforming tantalum-containing films,and tantalum-containing barrierfilms and copper-metallized semiconductor device structures”的美国授权前专利公开,以及专利号为7,049,226,名称为“Integration of ALDtantalum nitride for copper metallization”的美国专利,以获得对该阻障层沉积工艺的示例性的描述。
实施例
在PVD钌层和热氧化物上包含Pd/Au溅射涂层的硅晶片基板被放置在高压室中的加热过的支架上,与约45mg的CpTa(CO)4和约5mg的Pd(hfac)2一起。使用约400psi的二氧化碳气体冲洗该室约5分钟,然后排气到小于约5psi。用二氧化碳冲洗之后,向该室添加约500psi的CO,然后是二氧化碳,以将压强提升到约61℃下2200psi。经过约30分钟的时间,以确保Ta和Pd前体的完全溶解之后,向该室添加约500psi的氢气以使得约63℃下总的室内压强为约2680psi。完成氢气添加大约2-10分钟后,将晶片基板加热器设置到约350℃以开始在该Pd种晶表面沉积Ta。约二十分钟后关闭该基板加热器,在3500psi下使用大量的纯二氧化碳冲洗该室,然后排气到环境条件。将该基板从该室内移除。
所有上面提到的参考资料都完整引入作为参考,如同每个独立的参考资料都明确地并且分别地表明被完整引入作为参考一样。
尽管本发明是参考优选实施方式进行描述的,应当理解,对本领域的技术人员来说,要诉诸的变种和修改是显而易见的。这种变种和修改将被认为是在如所附权利要求所限定的本发明的权限和范围内。

Claims (20)

1.一种用于在半导体基板上形成和沉积M(0)薄膜的组合物,
包含:
至少一种金属前体;
中性不稳定配位体;
至少一种还原剂;以及
至少一种超临界溶剂,
其中(i)该还原剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的,或者(ii)该还原剂是从由甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的,或者(iii)该还原剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的。
2.根据权利要求1所述的组合物,进一步包含至少一种共溶剂。
3.根据权利要求2所述的组合物,其中该共溶剂是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的。
4.根据权利要求1所述的组合物,其中(i)该金属前体的存在范围按重量计算是从0.001到20%,(ii)该超临界溶剂的存在范围按重量计算是从10%到99.9%,(iii)该组合物是无表面活性剂的;(iv)该金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、锝、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,或者(v)该金属前体包含从由钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,或者(vi)该金属前体包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属,(vii)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为10∶1的比率存在的,(viii)该中性不稳定配位体是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的,或者(ix)该中性不稳定配位体是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的,或者(x)该中性不稳定配位体是由从CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的,(xi)该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出的,(xii)该金属前体中的该金属具有低于该金属的热力促进的氧化状态的氧化状态,(xiii)该元素金属M(0)薄膜包含一种或多种元素金属,和/或(xiv)沉积一层或多层该元素金属M(0)薄膜。
5.根据权利要求1所述的组合物,其包含至少一种可与该还原剂相同或不同的反应剂,
其中该金属前体中的金属具有第一氧化状态,该第一氧化状态是该金属的热力促进的氧化状态;其
该至少一种反应剂与该至少一种金属前体反应形成反应后金属前体,其中该反应后金属前体中的该金属具有第二氧化状态,且其中该第二氧化状态低于该第一氧化状态且是较低热力促进的。
6.根据权利要求5所述的组合物,进一步包含(i)至少一种共溶剂,和/或(ii)至少一种除酸剂。
7.根据权利要求6所述的组合物,其中(i)该还原剂与该反应剂相同,(ii)该共溶剂是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的,和/或(iii)该除酸剂是从由吡啶、吡啶的衍生物、杂环化合物、胺、烯、硅烷、羧酸盐、铅盐、铅的氧化物、氧化镁、氧化铝、氧化锌、水滑石及其混合物组成的组中选出来的。
8.根据权利要求5所述的组合物,其中(i)该金属前体的存在范围按重量计算是从约0.001到约20%,(ii)该超临界溶剂的存在范围按重量计算是从约10%到约99.9%,(iii)该组合物是无表面活性剂的,(iv)该金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、锝、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,(v)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的,或者(vi)该中性不稳定配位体是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的,和/或(vii)该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出的。
9.根据权利要求5所述的组合物,其中(i)该反应剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的,或者(ii)该反应剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的,或者(iii)该反应剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的,或者(iv)该反应剂是氢气,(v)该元素金属M(0)薄膜包含一种或多种元素金属,和/或(vi)沉积一层或多层该元素金属M(0)薄膜。
10.一种在半导体基板上沉积元素金属M(0)薄膜的方法,包含:
加热该半导体基板以获得加热过的半导体基板;
将该加热过的半导体基板暴露于包含至少一种金属前体、过量的中性不稳定配位体和超临界溶剂的组合物中;
将该金属前体暴露于该加热过的半导体基板上的或该加热过的半导体基板附近的还原剂和/或热能中;
通过使用该还原剂和/或该热能,将该金属前体还原为该元素金属M(0);以及
沉积该元素金属M(0)薄膜,同时使得金属氧化物的形成最小化。
11.根据权利要求10所述的方法,其中该组合物进一步包含(i)至少一种还原剂,和/或(ii)至少一种共溶剂。
12.根据权利要求11所述的方法,其中(i)该还原剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的,(ii)该还原剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的,(iii)该还原剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的,(iv)该还原剂是氢气,(v)该共溶剂是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的,和/或(vi)该共溶剂是从由甲醇、乙醇、氮烷基吡咯烷酮、氮芳基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯、碳酸亚丙酯、碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、丙烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇、二甲亚砜及其混合物组成的组中选出来的。
13.根据权利要求10所述的方法,其中(i)该金属前体的存在范围按重量计算是从约0.001到约20%,(ii)该超临界溶剂的存在范围按重量计算是从约10%到约99.9%,(iii)该组合物是无表面活性剂的,(iv)该金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、锝、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,(v)该金属前体包含从钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,(vi)该金属前体包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属,(vii)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的,(viii)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约100∶1的比率存在的,(ix)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约1000∶1的比率存在的,(x)该中性不稳定配位体是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的,(xi)该中性不稳定配位体是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的,(xii)该中性不稳定配位体是由从CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的,(xiii)该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出来的,(xiv)该元素金属M(0)薄膜包含一种或多种元素金属,和/或(xv)沉积一层或多层该元素金属M(0)薄膜。
14.根据权利要求10所述的方法,其中(i)该超临界溶剂在约20℃到约150℃的温度下,(ii)该半导体基板在约20℃到约450℃的温度下,和/或(iii)该方法是在约1000psi到约7000psi的压强的容器中进行的。
15.一种在半导体基板上沉积元素金属M(0)薄膜的方法,包含:
在包含过量的中性不稳定配位体的超临界溶剂存在的情况下,将第一金属前体暴露于反应剂中,其中在该第一金属前体中的该金属具有第一氧化状态,其中该第一氧化状态是该金属的热力促进的氧化状态;
在原地形成第二金属前体,其中该第二金属前体中的该金属具有第二氧化状态,且其中该第二氧化状态低于该第一氧化状态且是较低热力促进的;
将该在原地形成的第二金属前体暴露于还原剂和/或热能中;
将该在原地形成的第二金属前体还原为该元素金属M(0);以及
在半导体基板上沉积该元素金属M(0)薄膜,同时使得金属氧化物的形成最小化。
16.根据权利要求15所述的方法,其中该组合物进一步包含(i)至少一种还原剂,(ii)至少一种共溶剂,和/或(iii)至少一种除酸剂。
17.根据权利要求16所述的方法,(i)该还原剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的,(ii)该还原剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的,(iii)该还原剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的,(iv)该还原剂是氢气,(v)该还原剂与该反应剂相同,(vi)该共溶剂是从由醇、酮、胺、酯、醚、内酯、碳酸酯、烷、芳烃、杂环族化合物、酰胺及其混合物组成的组中选出来的,(vii)该共溶剂是从由甲醇、乙醇、氮烷基吡咯烷酮、氮芳基吡咯烷酮、二甲亚砜、环丁砜、邻苯二酚、乳酸乙酯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、伽玛-丁内酯、碳酸亚丁酯、碳酯亚乙酯、碳酸亚丙酯、碳氟氢化合物、氢氟醚、六氟化硫、丙烷、丁烷、戊烷、己烷、甲烷、丙烷、二甲基甲酰胺、氮甲基吡咯烷酮、二乙醚、丙酮、异丙醇、二甲亚砜及其混合物组成的组中选出来的,(viii)该除酸剂是从由吡啶、吡啶的衍生物、杂环化合物、胺、烯、硅烷、羧酸盐、铅盐、铅的氧化物、氧化镁、氧化铝、氧化锌、水滑石及其混合物组成的组中选出来的,(ix)该除酸剂是从由吡啶、烷代吡啶及其混合物组成的组中选出来的,和/或(x)该除酸剂是从由吡啶、C1-C6烷代吡啶的衍生物组成的组中选出来的。
18.根据权利要求15所述的方法,其中(i)该反应剂是从由锌、镁、镉、汞、钠、钾、锂、硼、铝及其合金组成的组中选出来的,(ii)该反应剂是从由氢气、醇、甲醛、硅烷、硫醇、胺、膦、烯、二烯、酮、双酮、杂环族化合物及其混合物组成的组中选出来的,(iii)该反应剂是从由锂铝氢化物、氢化硼钠、铁氰化钾、萘化钠、锂胺、氢化钙、铁(II)化合物、二茂钴、有机硼烷及其混合物组成的组中选出来的,(iv)该反应剂是氢气,(v)该元素金属M(0)薄膜包含一种或多种元素金属,和/或(vi)沉积一层或多层该元素金属M(0)薄膜。
19.根据权利要求15所述的方法,其中(i)该金属前体的存在范围按重量计算是从约0.001到约20%,(ii)该超临界溶剂的存在范围按重量计算是从约10%到约99.9%,(iii)该组合物是无表面活性剂的,(iv)该金属前体包含从由钪、钇、镧、锕、钛、锆、铪、钅卢、钒、铌、钽、钅杜、铬、钼、钨、钅喜、锰、technitium、铼、钅波、铁、钌、锇、钅黑、钴、铑、铱、钅麦、镍、钯、铂、钅达、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,(v)该金属前体包含从钛、锆、铪、钒、钽、铬、钼、钨、锰、铁、钴、镍、钯、铂、铜、银、金、锌、镉、汞及其混合物组成的组中选出来的过渡金属,(vi)该金属前体包含从由钽、铪、锆、钛、钨、钼、铬及其混合物组成的组中选出来的过渡金属,(vii)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约10∶1的比率存在的,(viii)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约100∶1的比率存在的,(ix)该中性不稳定配位体是以中性不稳定配位体的摩尔数比该金属前体的摩尔数的比至少为约1000∶1的比率存在的,(x)该中性不稳定配位体是从由醚、腈、硫醚、烯、炔、无环二烯、芳烃、芳族化合物、酮、胺、膦、胩、异腈、CO、双氮及其混合物组成的组中选出来的,(xi)该中性不稳定配位体是从由CO、乙腈、四氢呋喃、乙醚、三乙胺、三苯膦、乙烯、丁二烯、4-庚酮及其混合物组成的组中选出来的,(xii)该中性不安定配位体是从由CO、乙腈、四氢呋喃、醚及其混合物组成的组中选出来的,和/或(xiii)该超临界溶剂是从由二氧化碳、氧、氩、氪、氙、氨、甲烷、甲醇、二甲基酮、可形成氢的气体、六氟化硫及其混合物组成的组中选出来的。
20.根据权利要求15所述的方法,其中(i)该超临界溶剂在约20℃到约150℃的温度下,(ii)该半导体基板在约20℃到约450℃的温度下,和/或(iii)该方法是在约1000psi到约7000psi的压强的容器中进行的。
CN200880003649.1A 2007-01-30 2008-01-28 使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法 Active CN101595243B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/699,487 US8617301B2 (en) 2007-01-30 2007-01-30 Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US11/699,487 2007-01-30
PCT/US2008/001074 WO2008094494A1 (en) 2007-01-30 2008-01-28 Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents

Publications (2)

Publication Number Publication Date
CN101595243A CN101595243A (zh) 2009-12-02
CN101595243B true CN101595243B (zh) 2014-09-24

Family

ID=39674393

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880003649.1A Active CN101595243B (zh) 2007-01-30 2008-01-28 使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法

Country Status (7)

Country Link
US (1) US8617301B2 (zh)
JP (1) JP2010516905A (zh)
KR (1) KR20090107074A (zh)
CN (1) CN101595243B (zh)
SG (1) SG178723A1 (zh)
TW (1) TWI436427B (zh)
WO (1) WO2008094494A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294851B2 (en) * 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
WO2008094457A1 (en) * 2007-01-30 2008-08-07 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
KR101589777B1 (ko) 2008-08-01 2016-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기재 상에 탄탈-함유 층의 형성 방법
EP2174942B1 (en) 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
CN103215576B (zh) * 2013-04-03 2015-04-22 山西光华建业复合材料有限公司应县分公司 一种Ni-Al耐磨复合材料、制备方法及其应用
US11524973B2 (en) 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119418B2 (en) * 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5324341A (en) 1992-05-05 1994-06-28 Molten Metal Technology, Inc. Method for chemically reducing metals in waste compositions
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
KR100249825B1 (ko) 1997-12-17 2000-03-15 정선종 유기금속 화합물 전구체에 의한 구리박막의 화학증착 방법
KR100292687B1 (ko) 1997-12-20 2001-06-15 김영환 알루미늄박막 형성방법
US6277203B1 (en) 1998-09-29 2001-08-21 Lam Research Corporation Method and apparatus for cleaning low K dielectric and metal wafer surfaces
US6689700B1 (en) 1999-11-02 2004-02-10 University Of Massachusetts Chemical fluid deposition method for the formation of metal and metal alloy films on patterned and unpatterned substrates
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6337277B1 (en) 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6457477B1 (en) 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6486078B1 (en) 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6596344B2 (en) 2001-03-27 2003-07-22 Sharp Laboratories Of America, Inc. Method of depositing a high-adhesive copper thin film on a metal nitride substrate
US6561220B2 (en) 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6794293B2 (en) 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6949411B1 (en) 2001-12-27 2005-09-27 Lam Research Corporation Method for post-etch and strip residue removal on coral films
US7030168B2 (en) * 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6749902B2 (en) * 2002-05-28 2004-06-15 Battelle Memorial Institute Methods for producing films using supercritical fluid
JP2004158534A (ja) 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US7153388B2 (en) 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
KR100528961B1 (ko) 2003-06-20 2005-11-16 학교법인 포항공과대학교 펄스 주입형 유기 금속 화학 증착법을 이용한 구리 박막제조 방법
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4312630B2 (ja) 2004-03-02 2009-08-12 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6958308B2 (en) * 2004-03-16 2005-10-25 Columbian Chemicals Company Deposition of dispersed metal particles onto substrates using supercritical fluids
US7550179B2 (en) 2004-08-30 2009-06-23 E.I Du Pont De Nemours And Company Method of copper deposition from a supercritical fluid solution containing copper (I) complexes with monoanionic bidentate and neutral monodentate ligands
US20060102895A1 (en) 2004-11-16 2006-05-18 Hendrix Bryan C Precursor compositions for forming tantalum-containing films, and tantalum-containing barrier films and copper-metallized semiconductor device structures
US7402517B2 (en) 2005-03-31 2008-07-22 Battelle Memorial Institute Method and apparatus for selective deposition of materials to surfaces and substrates
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119418B2 (en) * 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates

Also Published As

Publication number Publication date
US20080213999A1 (en) 2008-09-04
TWI436427B (zh) 2014-05-01
SG178723A1 (en) 2012-03-29
JP2010516905A (ja) 2010-05-20
WO2008094494A1 (en) 2008-08-07
TW200839879A (en) 2008-10-01
CN101595243A (zh) 2009-12-02
KR20090107074A (ko) 2009-10-12
US8617301B2 (en) 2013-12-31

Similar Documents

Publication Publication Date Title
CN101595243B (zh) 使用超临界溶剂在半导体基板上形成和沉积金属薄膜的组合物和方法
US7786011B2 (en) Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
CN110993482A (zh) 选择性钝化和选择性沉积
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10309010B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
WO2013098794A2 (en) Nickel allyl amidinate precursors for deposition of nickel-containing films
KR20230027036A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
CN117120660A (zh) 在薄膜沉积工艺中从金属卤氧化物前体中除去氧的试剂
TWI628305B (zh) 包含具高鋁含量的鋁合金之膜的沉積
WO2021127467A1 (en) Group v element-containing film forming compositions and vapor deposition of group v element-containing film
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
JP2016513087A (ja) マンガン含有化合物、その合成及びマンガン含有膜の堆積へのその使用
WO2019039103A1 (ja) タングステン化合物、薄膜形成用原料及び薄膜の製造方法
US20230323530A1 (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
US20230197509A1 (en) Wet functionalization of dielectric surfaces
WO2024081263A1 (en) Deposition of metal-containing films
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
WO2023250500A1 (en) Plasma enhanced low temperature atomic layer deposition of metals
WO2022076521A1 (en) Indium precursors for vapor depositions
TW202419663A (zh) 金屬的電漿增強低溫原子層沉積
JP2024511271A (ja) 原子層堆積のための還元剤
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant