CN101506939A - 在斜面蚀刻处理期间避免低k损伤 - Google Patents

在斜面蚀刻处理期间避免低k损伤 Download PDF

Info

Publication number
CN101506939A
CN101506939A CNA2007800315476A CN200780031547A CN101506939A CN 101506939 A CN101506939 A CN 101506939A CN A2007800315476 A CNA2007800315476 A CN A2007800315476A CN 200780031547 A CN200780031547 A CN 200780031547A CN 101506939 A CN101506939 A CN 101506939A
Authority
CN
China
Prior art keywords
plasma
chamber
cleaning
etch
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800315476A
Other languages
English (en)
Other versions
CN101506939B (zh
Inventor
金允尚
安德鲁·贝利三世
杰克·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101506939A publication Critical patent/CN101506939A/zh
Application granted granted Critical
Publication of CN101506939B publication Critical patent/CN101506939B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种蚀刻基片斜缘的方法。在该蚀刻层上形成图案化光刻胶掩模。清洁该斜缘,包括:提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz和其组合的至少一个;由该清洁气体形成清洁等离子;以及将该斜缘暴露于该清洁等离子。穿过该光刻胶特征将特征蚀刻进该蚀刻层,以及去除该光刻胶掩模。

Description

在斜面蚀刻处理期间避免低k损伤
技术领域
[0001]本发明涉及半导体器件的形成。更具体地,本发明涉及在半导体器件形成期间从斜缘去除蚀刻副产物。再更具体地,本发明涉及在半导体器件形成期间从斜缘去除蚀刻副产物中避免低k损伤。
背景技术
[0002]在基片(例如,半导体基片或如用于平面显示器制造中的玻璃面板)的处理中,往往采用等离子。在基片处理期间,将基片分为多个模片或者矩形区域。该多个模片的每个将成为集成电路。然后在一系列步骤中处理该基片,其中有选择地去除(或蚀刻)以及沉积材料。将晶体管栅极关键尺寸(CD)控制在几个纳米的数量级是最优先考虑的,因为对目标栅极长度每个纳米的偏差会直接影响这些器件的运行速度和/或可操作性。
[0003]通常,在蚀刻之前,在基片上涂覆硬化乳剂薄膜(如光刻胶掩模)。然后有选择地去除硬化乳剂的一些区域,使得下面的层暴露出来。然后,将基片设在等离子处理室的基片支撑结构上。然后将一组适当的等离子气体引入该室并且产生等离子以蚀刻该基片的暴露区域。
[0004]在蚀刻工艺期间,蚀刻副产物(例如由碳(C)、氧(O)、氮(N)、氟(F)等组成的聚合物)往往形成在靠近基片边缘(或斜缘)的顶面和底面上。靠近基片的边缘,蚀刻等离子密度一般较低,这导致聚合物副产物聚集在该基片斜缘顶面和底面上。通常,在该基片的边缘附近例如在距该基片边缘大约5mm至大约15mm之间,没有模片。然而,随着由于多个不同的蚀刻工艺而使得在斜缘的顶面和底面上沉积连续的副产物聚合物层,通常强健和粘性的有机键将最终在随后的处理步骤期间变弱。那么,形成在基片边缘的顶面和底面上的聚合物层往往会在后处理(该基片表面的湿法清洁)期间剥离或剥落在另一基片上,可能影响器件成品率。
[0005]在半导体晶片上集成低k电介质(其机械强度低于之前一代的材料)使得超精细特征尺寸和高性能要求成为必要。该低k介电材料本身较弱的特性对于下游电器封装工艺和材料提出极大的挑战。
[0006]低k材料定义为介电常数(“k”)低于2.9的半导体级绝缘材料。为了进一步降低集成电路上器件的尺寸,必须使用低电阻率导体和低k绝缘体以减低邻近金属线路之间的电容耦合。低k电介质、碳或氟掺杂膜结合进后端工序(BEOL)堆栈以提高器件性能和允许器件规模化。
[0007]然而,低k材料是多孔的,其引起工艺集成和材料相容性方面的麻烦。保持膜的完整性与将其适当合并与执行必须的剥除、清洁和调整之间的平衡动作变得越来越不稳定。图案化过程(蚀刻、剥除和清洁)也会对多孔低k的完整性产生严重影响。目前使用的清洁等离子气体是O2和CF4或N2和CF4,其导致氮、氧或氟基团漂移进该基片。这个漂移导致k值增加,这会改变成分并且使该材料退化。
[0008]因此,低k损伤导致退化的器件性能、降低的可靠性、低成品率和其他相关问题。
发明内容
[0009]为了实现前述以及根据本发明的目的,提供用于清洁基片斜缘的方法。在蚀刻层上形成图案化光刻胶掩模。清洁该斜缘包括:提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;从该清洁气体形成清洁等离子;以及将该斜缘暴露于该清洁等离子。穿过光刻胶特征将特征蚀刻进蚀刻层,以及去除该光刻胶掩模。
[0010]本发明的另一方面关于在蚀刻层上形成图案化光刻胶掩模。穿过该光刻胶特征将特征蚀刻进该蚀刻层,以及去除该光刻胶掩模。清洁该斜缘包括:提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;从该清洁气体形成清洁等离子;以及将该斜缘暴露于该清洁等离子。
[0011]在本发明的另一方面,提供用于蚀刻基片斜缘的设备。提供一种等离子处理室,包括:形成等离子处理室外壳的室壁;在该等离子处理室外壳内支撑基片的基片支撑件,其中该基片支撑件的直径小于该基片直径;用于该等离子处理室外壳中压力的压力调节器;至少一个用于提供功率至该等离子处理室外壳以维持等离子的电极;用于将气体提供进该等离子处理室外壳的气体入口;以及用于从该等离子处理室外壳排出气体的气体出口。气体源与该气体入口流体连通,其中该气体源包括清洁气体源、清洁等离子气体源和蚀刻层蚀刻气体源。控制器以可控制的方式连接到该气体源和该至少一个电极。该控制器包括至少一个处理器和计算机可读介质。该计算机可读介质包括:用于在蚀刻层上形成图案化光刻胶掩模的计算机可读代码;用于清洁该斜缘的计算机可读代码,其包括:用于提供清洁气体的计算机可读代码,该清洁气体包括CO2,CO,CxHy,H2,NH3,CxHyFz或其组合的至少一个;用于由该清洁气体形成清洁等离子的计算机可读代码;以及,用于将该斜缘暴露于该清洁等离子的计算机可读代码。该计算机可读介质进一步包括:用于穿过该光刻胶特征将特征蚀刻进该蚀刻层的计算机可读代码和用于去除该光刻胶掩模的计算机可读代码。
[0012]本发明的这些和其他特征将在下面的具体描述中结合附图更详细地说明。
附图说明
[0013]在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中:
图1是可用于本发明一个实施例中的工艺的高层流程图。
图2是可用于本发明另一实施例中的工艺的高层流程图。
图3A-C是按照本发明一个实施例处理的堆栈的剖视示意图和俯视图。
图4是清洁该斜缘的步骤更详细的流程图。
图5A和5B是可用来实施本发明的斜面蚀刻处理室的示意图。
图6A和6B是可用来实施本发明的等离子处理室的一个实施例的示意图。
图7A-B示出计算机系统,其适于实现用于本发明实施例的控制器。
具体实施方式
[0014]现在将根据如在附图中说明的几个实施方式来具体描述本发明。在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。
[0015]为了便于理解,图1是可用于本发明一个实施例的工艺的高层流程图。参考图1,提供图案化的光刻胶掩模(步骤100)。图3A是一剖视示意图,示出基片304上待蚀刻的层308,在该待蚀刻层308上的底层310上具有图案化的光刻胶掩模312,该掩膜具有光刻胶特征314,它们形成堆300。该光刻胶掩模具有光刻胶特征关键尺寸(CD),其可以是可能最小的特征的最宽部分的宽度。为了提供该图案化光刻胶掩模,可首先在待蚀刻层上形成光刻胶层。然后图案化该光刻胶层以形成光刻胶特征314。
[0016]可选地,该基片传送到斜面蚀刻室(步骤102)。如下面详细描述的,等离子室可用于形成半导体的所有步骤。然而,在可选实施例中,一个单独的室可用来清洁该斜缘。因此,该基片必须传递到该斜面蚀刻室以清洁该斜缘。
[0017]清洁该斜缘(步骤104)。图4是这个步骤更详细的流程图。如图4所示,清洁该斜缘可包括下面步骤:提供清洁气体(步骤404),形成清洁等离子(步骤406)以及将该斜缘暴露于该清洁等离子(步骤408)。该清洁气体可以是CO2、CO、CxHy、H2、NH3、CxHyFz或其组合。化学式为CxHy的清洁气体可以是CH4、C2H6和C2H4。化学式为CxHyFz的清洁气体可以是CH3F、CHF3、CH2F2或C2H2F4。该清洁等离子可包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合。
[0018]并不想受到任何局限或理论的约束,相信CO或CO2最佳,然后是CxHy、H2、CxHyFz和NH3。另外,相信CO2和CF4降低氧和氟基团的量。因此,使用CO或CO2是最优选的。使用CxHy是接下来最优选的。使用H2是接下来最优选的。使用CxHyFz是接下来优选的,以及NH3是接下来优选的。
[0019]可选地,该基片可以传送回等离子蚀刻室(步骤106)。如下面详细描述的,等离子室可以用来执行形成该半导体的全部步骤。然而,在可选实施例中,一个单独的室可以用来蚀刻该蚀刻层中的特征。因此,该基片必须传送到该等离子蚀刻室以蚀刻这些特征。
[0020]然后穿过该光刻胶掩模312将特征328蚀刻进该蚀刻层(步骤108),如图3B所示。然后去除该光刻胶掩模312(步骤110),如图3C所示。
[0021]可选地,该基片可以传递回斜面蚀刻室(步骤112)。该斜缘可以再次清洁(步骤114),如上面参照图4所描述的。
[0022]图2是可用于本发明另一实施例的工艺的高层流程图。提供图案化光刻胶掩模(步骤200),如上面参照图3A所说明的。然后穿过该光刻胶掩模312将特征328蚀刻进该蚀刻层308(步骤202),如图3B所示。然后去除该光刻胶掩模312(步骤204),如图3C所示。
[0023]可选地,该基片可以传递到斜面蚀刻室(步骤206)。如下面详细描述的,等离子室可以用来执行形成该半导体的全部步骤。然而,在可选实施例中,一个单独的室可以用来清洁该斜缘。因此,该基片必须传递到该斜面蚀刻室以清洁该斜缘。
[0024]清洁该斜缘(步骤208)。如图4所示,清洁该斜缘包括这些步骤:提供清洁气体(步骤404),形成清洁等离子(步骤406)和将该斜缘暴露于该清洁等离子(步骤408)。该清洁气体可以是CO2、CO、CxHy、H2、NH3、CxHyFz或其组合。化学式为CxHy的清洁气体可以是CH4、C2H6、和C2H4。化学式为CxHyFz的清洁气体可以是CH3F、CHF3、CH2F2或C2H2F4。该清洁等离子包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合。
[0025]并不想受到任何局限或理论的约束,相信CO或CO2最佳,然后是CxHy、H2、CxHyFz和NH3。另外,相信CO2和CF4降低氧和氟基团的量。因此,使用CO或CO2是最优选的。使用CxHy是接下来最优选的。使用H2是接下来最优选的。使用CxHyFz是接下来优选的,以及NH3是接下来优选的。
[0026]该底层310可以是任何已知的有机、无机或金属层。为了示范性目的而不是为了限制,该底层可以是抗反射层(ARL)、底部抗反射层(BARC)、介电抗反射层(DARC)、无定形碳或任何其他已知的底层。
示例
[0027]在这个工艺的一个示例中,形成图案化光刻胶层(步骤100,200)。具有该蚀刻层308、底层310、图案化光刻胶掩模312和斜缘316的基片304设在等离子处理室中。
[0028]图5A和5B说明被室壁502封闭的斜面蚀刻处理室500的实施例。室500具有顶部设有基片550的基片支撑件540。在一个实施例中,该基片支撑件540是静电卡盘,其由RF(射频)电源(未示)供电。该基片支撑件540可以是DC(直流)、RF偏置或接地。该基片支撑件540的直径小于该基片550的直径以便清洁该斜缘。对着该基片支撑件540的是气体分配板560,具有气体入口561。在基片550蚀刻期间,室500可以RF通电以生成电容耦合蚀刻等离子或电感耦合蚀刻等离子。
[0029]该基片550可具有斜缘517,其包括该基片边缘的顶部和底部表面,如图5A的区域B以及图5B的放大的区域B所示。在图5B中,斜缘517用粗的线条和曲线突出显示。
[0030]围绕该基片支撑件540边缘,是底部边缘电极520,由导电材料制成,如铝(Al)。在该基片支撑件540和该底部边缘电极520之间,是底部介电环521,其将该基片支撑件540和该底部边缘电极520电气隔开。在一个实施例中,基片550不接触该底部边缘电极520。在该底部边缘电极520外面,是另一底部绝缘环525,其延展该底部边缘电极520面向基片550的表面。
[0031]围绕气体分配板560,是顶部边缘电极510,由导电材料制成,如铝(Al)。该顶部边缘电极510通过顶部介电环511与气体分配板560电绝缘。在该顶部边缘电极510之外,是顶部绝缘环515,其延展该顶部边缘电极510面向基片550的表面。
[0032]在一个实施例中,该底部边缘电极520连接到RF电源525,以及该顶部边缘电极510接地。在基片斜缘清洁工艺期间,该RF电源525提供频率在大约2MHz至大约15MHz之间以及功率在大约100瓦至大约2000瓦之间的RF功率以生成清洁等离子。在斜缘清洁期间,该基片支撑件540和该气体分配板560保持为电气浮动。该清洁等离子配置为由该顶部介电环511、该顶部边缘电极510、该顶部绝缘环515、该底部介电环521、该底部边缘电极520和该底部绝缘环限制。
[0033]在该斜缘清洁工艺期间,该斜面蚀刻室压力可以在大约100mTorr至大约2Torr之间。该气体分配板560和基片550之间的间隔Ds小于0.6mm以确保该斜缘清洁工艺期间在该顶部电极560和该基片550之间不形成等离子。该清洁气体可以从与气体入口561流体连通的任何气体源(未示)提供并且由排气泵550通过气体出口排出该室。在一个实施例中,该气体入口设在该气体分配板560附近。或者,该清洁气体还可通过设在该处理室500其他部件内的气体入口提供。控制器504以可控制地方式连接到该RF源525、该气体源以及任何其他装置。
[0034]该室的别的实施例在美国专利申请第11/440,561(递交于2006年5月24日,主题为“Apparatus and Methods To Remove FilmsOn Bevel Edge and Backside of Wafer”,为了所有目的其整体结合在这里。
[0035]图6A和6B是可用于实施本发明的等离子处理室一个实施例的示意图。该等离子处理室600可清洁和蚀刻该基片,从而该基片将不必在多个室之间传送,由此减少处理时间、污染以及其他相关问题。由于该等离子处理室600与参照图5讨论的室相似,所以将仅讨论与主题有关的元件。
[0036]该处理室600可具有顶部电极602和底部电极604。为了便于斜面蚀刻,该基片610的直径大于支撑该基片的底部电极604的直径。该清洁气体可以从与气体入口(在图5A中示出)流体连通的任何气体源提供。该清洁气体可以用来在电极602、604之间形成清洁等离子608。为了清洁该基片,这些电极602、604可以朝向彼此移动由此迫使该清洁等离子608至该室600的侧面,如图6B所示。因此,这些电极602、604在斜面蚀刻过程中比蚀刻层蚀刻期间靠得更近。即,该顶部电极602和该底部电极604在蚀刻层蚀刻工艺期间分开而在该斜缘蚀刻工艺期间靠得更近。这些斜缘通过暴露于该清洁等离子608而清洁。
[0037]图7A和7B说明了一个计算机系统700,其适于实现用于本发明的实施方式的控制器504。图7A示出该计算机系统一种可能的物理形式。当然,该计算机系统可以具有从集成电路、印刷电路板和小型手持设备到巨型超级计算机的范围内的许多物理形式。计算机系统700包括监视器702、显示器704、机箱706、磁盘驱动器708、键盘710和鼠标712。磁盘714是用来与计算机系统700传入和传出数据的计算机可读介质。
[0038]图7B是计算机系统700的框图的一个例子。连接到系统总线720的是各种各样的子系统。处理器722(也称为中央处理单元,或CPU)连接到存储设备,包括存储器724。存储器724包括随机访问存储器(RAM)和只读存储器(ROM)。如本领域所公知的,ROM用作向CPU单向传输数据和指令,而RAM通常用来以双向的方式传输数据和指令。这两种类型的存储器可包括下面描述的任何合适的计算机可读介质。固定磁盘726也是双向连接到CPU722;其提供额外的数据存储能力并且也包括下面描述的任何计算机可读介质。固定磁盘726可用来存储程序、数据等,并且通常是次级存储介质(如硬盘),其比主存储器慢。可以理解的是保留在固定磁盘726内的信息可以在适当的情况下作为虚拟存储器以标准的方式结合在存储器724中。可移动磁盘714可以采用下面描述的任何计算机可读介质的形式。
[0039]CPU 722还连接到各种输入/输出设备,如显示器704、键盘710、鼠标712和扬声器730。通常,输入/输出设备可以是下面的任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸显示器、转换器读卡器、磁带或纸带阅读器、书写板、触针、语音或手写识别器、生物阅读器或其他计算机。CPU 722可选地可使用网络接口740连接到另一台计算机或者电信网络。利用这样的网络接口,计划在执行上述方法步骤地过程中,CPU可从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可在CPU 722上单独执行或者可在如Internet的网络上与共享该处理一部分的远程CPU一起执行。
[0040]另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,在计算机可读介质上有用于执行各种计算机实现的操作的计算机代码。该介质和计算机代码可以是那些为本发明目的专门设计和构建的,或者它们可以是对于计算机软件领域技术人员来说公知并且可以得到的类型。计算机可读介质的例子包括,但不限于:磁介质,如硬盘、软盘和磁带;光介质,如CD-ROM和全息设备;磁-光介质,如光软盘;以及为了存储和执行程序代码专门配置的硬件设备,如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM器件。计算机代码的例子包括如由编译器生成的机器代码,以及包含高级代码的文件,该高级代码能够由计算机使用解释器来执行。计算机可读介质还可以是在载波中由计算机数据信号携带的并且表示能够被处理器执行的指令序列的计算机代码。
[0041]清洁该斜缘(步骤104)。参照图4所示的步骤,提供清洁气体(步骤404)的示例性制法使用,例如,由CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个组成的气体,从该气体可形成清洁等离子(步骤406)。等离子处理室压力可为500mTorr-2Torr。更优选地,清洁该斜缘的压力为100mT-2T。将在大约2-27MHz的100至2000瓦特功率提供到该等离子处理室。在制法的一个实施例中,5-1000sccm的清洁气体可在40℃的温度使用超过5秒。
[0042]然后将特征蚀刻进该蚀刻层(步骤108)。待蚀刻层的示例可以是传统的蚀刻层,如SiN、SiC、氧化物或低k电介质。传统的蚀刻制法可以用来蚀刻待蚀刻的层。
[0043]为了去除该掩模(步骤110),可以使用氧气灰化。
[0044]在本发明的优选实施例中,清洁该斜缘和将特征蚀刻进该蚀刻层在同一蚀刻室的原位完成,如图6A和6B。
[0045]在另一实施例中,将特征蚀刻进该蚀刻层(步骤202)。待蚀刻层的示例可以是传统的蚀刻层,如SiN、SiC、氧化物或低k电介质。传统的蚀刻制法可以用来蚀刻该待蚀刻的层。
[0046]为了去除该掩模(步骤204),可以使用氧气灰化。
[0047]清洁该斜缘(步骤208)。参照图4所示的流程图,提供清洁气体(步骤404)的示例性制法使用,例如,CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个组成的气体,从该气体可形成清洁等离子(步骤406)。蚀刻室或等离子处理室的压力在500mTorr-2Torr。更优选地,清洁该斜缘的压力为100mT-2T。将在大约2-27MHz的100至2000瓦特的功率提供到该等离子处理室。在制法的一个实施例中,5-1000sccm清洁气体可以在40℃的温度使用超过5秒。
[0048]尽管本发明依照多个实施方式描述,但是存在落入本发明范围内的改变、置换和各种替代等同物。还应当注意,有许多实现本发明方法和设备的可选方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。
权利要求书(按照条约第19条的修改)
1.一种蚀刻基片斜缘的方法,包括:
在蚀刻层上形成图案化光刻胶掩模;
清洁该斜缘,包括:
提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
由该清洁气体形成清洁等离子;以及
将该斜缘暴露于该清洁等离子;
穿过该光刻胶特征将特征蚀刻进该蚀刻层;以及
去除该光刻胶掩模。
2.根据权利要求1所述的方法,其中形成、清洁、蚀刻和去除发生在单个等离子室中。
3.根据权利要求1-2所述的方法,其中形成、蚀刻和去除发生在等离子处理室中。
4.根据权利要求3所述的方法,进一步包括将顶部电极与底部电极隔开。
5.根据权利要求1-4所述的方法,其中该清洁发生在斜面蚀刻室。
6.根据权利要求5所述的方法,进一步包括将该基片传送到该斜面蚀刻室。
7.根据权利要求6所述的方法,其中该蚀刻进一步包括将该基片传送到等离子处理室。
8.根据权利要求1-7所述的方法,其中CxHy是CH4、C2H6或C2H4的至少一个。
9.根据权利要求1-8所述的方法,其中CxHyFz是CH3F、CHF3、CH2F2或C2H2F4的至少一个。
10.根据权利要求1-9所述的方法,其中该清洁等离子包括CxHy以及CO2或CO的至少一个。
11.根据权利要求1-10所述的方法,其中该清洁等离子包括H2和CO2
12.根据权利要求1-11所述的方法,进一步包括:
将该基片传送到该斜面蚀刻室;和
重复该清洁步骤。
13.一种由权利要求1所述的方法形成的半导体器件。
14.一种蚀刻基片斜缘的方法,包括:
在蚀刻层上形成图案化光刻胶掩模;
穿过该光刻胶特征将特征蚀刻进该蚀刻层;
去除该光刻胶掩模;和
清洁该斜缘,包括:
提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
由该清洁气体形成清洁等离子;以及
将该斜缘暴露于该清洁等离子。
15.根据权利要求14所述的方法,其中形成、蚀刻、去除和清洁发生在单个等离子室中。
16.根据权利要求14-15所述的方法,其中形成、蚀刻和去除发生在等离子处理室。
17.根据权利要求16所述的方法,进一步包括将顶部电极与底部电极隔开。
18.根据权利要求14-17所述的方法,其中该清洁发生在斜面蚀刻室。
19.根据权利要求18所述的方法,进一步包括将该基片传送到该斜面蚀刻室。
20.根据权利要求14-19所述的方法,其中CxHy是CH4、C2H6或C2H4的至少一个。
21.根据权利要求14-20所述的方法,其中CxHyFz是CH3F、CHF3、CH2F2或C2H2F4的至少一个。
22.根据权利要求14-21所述的方法,其中该清洁气体包括CxHy
23.根据权利要求14-22所述的方法,其中该清洁等离子包括CxHy和CO2或CO至少一个。
24.根据权利要求14-23所述的方法,其中该清洁等离子包括H2和CO2
25.一种由权利要求14-24所述的方法形成的半导体器件。
26.一种蚀刻基片斜缘的设备,包括:
等离子处理室,包括:
室壁,形成等离子处理室外壳;
基片支撑件,在该等离子处理室外壳内支撑基片,其中该基片支撑件的直径小于该基片直径;
压力调节器,调节该等离子处理室外壳内的压力;
至少一个电极,向该等离子处理室外壳提供功率以维持等离子;
气体入口,将气体提供进该等离子处理室外壳;和
气体出口,将气体从该等离子处理室外壳排出;
气体源,与该气体入口流体连通,包括:
清洁气体源;
清洁等离子气体源;和
蚀刻层蚀刻气体源;
控制器,以可控制的方式连接到该气体源和该至少一个电极,包括:
至少一个处理器;和
计算机可读介质包括:
用于在蚀刻层上形成图案化光刻胶掩模的计算机可读代码;
用于清洁该斜缘的计算机可读代码,包括:
用于提供清洁气体的计算机可读代码,该清洁气体包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
用于由该清洁气体形成清洁等离子的计算机可读代码,;以及
用于将该斜缘暴露于该清洁等离子的计算机可读代码;
用于穿过该光刻胶特征将特征蚀刻进该蚀刻层的计算机可读代码;和
用于去除该光刻胶掩模的计算机可读代码。
27.一种蚀刻基片斜缘的设备,包括:
斜面蚀刻室,包括:
室壁,形成该斜面蚀刻室外壳;
基片支撑件,在该斜面蚀刻室外壳内支撑基片,其中该基片支撑件直径小于该基片直径;
压力调节器,调节斜面蚀刻室外壳中的压力;
至少一个电极,提供功率至该斜面蚀刻室外壳以维持等离子;
气体入口,将气体提供进该等离子处理室外壳;和
气体出口,将气体从该斜面蚀刻室外壳排出;
气体源,与该气体入口流体连通,其包含清洁气体,该气体包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;以及
控制器,以可控制的方式连接到该气体源和该至少一个电极,包括:
至少一个处理器;和
计算机可读介质包括:
用于提供清洁气体的计算机可读代码,该气体包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
用于由该清洁气体形成清洁等离子的计算机可读代码;和
用于利用该清洁等离子清洁该斜缘的计算机可读代码。
28.根据权利要求27所述的设备,进一步包括:
等离子蚀刻室,包括:
室壁,形成该等离子蚀刻室外壳;
基片支撑件,在该等离子蚀刻室外壳内支撑基片;
压力调节器,调节该等离子蚀刻室外壳中的压力;
至少一个电极,提供功率至该等离子蚀刻室外壳以维持等离子;
气体入口,将气体提供进该等离子蚀刻室外壳;和
气体出口,将气体从该等离子蚀刻室外壳排出;
气体源,与该气体入口流体连通,包括蚀刻层蚀刻气体源;以及
控制器,以可控制的方式连接到该气体源和该至少一个电极,包括:
至少一个处理器;和
计算机可读介质包括:
用于在蚀刻层上形成图案化光刻胶掩模的计算机可读代码;
用于穿过光刻胶特征将特征蚀刻进该蚀刻层的计算机可读代码;以及
用于去除该光刻胶掩模的计算机可读代码。

Claims (28)

1.一种蚀刻基片斜缘的方法,包括:
在蚀刻层上形成图案化光刻胶掩模;
清洁该斜缘,包括:
提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
由该清洁气体形成清洁等离子;以及
将该斜缘暴露于该清洁等离子;
穿过该光刻胶特征将特征蚀刻进该蚀刻层;以及
去除该光刻胶掩模。
2.根据权利要求1所述的方法,其中形成、清洁、蚀刻和去除发生在单个等离子室中。
3.根据权利要求1所述的方法,其中形成、蚀刻和去除发生在等离子处理室中。
4.根据权利要求3所述的方法,进一步包括将顶部电极与底部电极隔开。
5.根据权利要求1所述的方法,其中该清洁发生在斜面蚀刻室。
6.根据权利要求5所述的方法,进一步包括将该基片传送到该斜面蚀刻室。
7.根据权利要求6所述的方法,其中该蚀刻进一步包括将该基片传送到等离子处理室。
8.根据权利要求1所述的方法,其中CxHy是CH4、C2H6或C2H4的至少一个。
9.根据权利要求1所述的方法,其中CxHyFz是CH3F、CHF3、CH2F2或C2H2F4的至少一个。
10.根据权利要求1所述的方法,其中该清洁等离子包括CxHy以及CO2或CO的至少一个。
11.根据权利要求1所述的方法,其中该清洁等离子包括H2和CO2
12.根据权利要求1所述的方法,进一步包括:
将该基片传送到该斜面蚀刻室;和
重复该清洁步骤。
13.一种由权利要求1所述的方法形成的半导体器件。
14.一种蚀刻基片斜缘的方法,包括:
在蚀刻层上形成图案化光刻胶掩模;
穿过该光刻胶特征将特征蚀刻进该蚀刻层;
去除该光刻胶掩模;和
清洁该斜缘包括:
提供清洁气体,其包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
由该清洁气体形成清洁等离子;以及
将该斜缘暴露于该清洁等离子。
15.根据权利要求14所述的方法,其中形成、蚀刻、去除和清洁发生在单个等离子室中。
16.根据权利要求14所述的方法,其中形成、蚀刻和去除发生在等离子处理室。
17.根据权利要求16所述的方法,进一步包括将顶部电极与底部电极隔开。
18.根据权利要求14所述的方法其中该清洁发生在斜面蚀刻室。
19.根据权利要求18所述的方法,进一步包括将该基片传送到该斜面蚀刻室。
20.根据权利要求14所述的方法其中CxHy是CH4、C2H6或C2H4的至少一个。
21.根据权利要求14所述的方法,其中CxHyFz是CH3F、CHF3、CH2F2或C2H2F4的至少一个。
22.根据权利要求14所述的方法,其中该清洁气体包括CxHy
23.根据权利要求14所述的方法,其中该清洁等离子包括CxHy和CO2或CO至少一个。
24.根据权利要求14所述的方法,其中该清洁等离子包括H2和CO2
25.一种由权利要求14所述的方法形成的半导体器件。
26.一种蚀刻基片斜缘的设备,包括:
等离子处理室,包括:
室壁,形成等离子处理室外壳;
基片支撑件,在该等离子处理室外壳内支撑基片,其中该基片支撑件的直径小于该基片直径;
压力调节器,调节该等离子处理室外壳的压力;
至少一个电极,向该等离子处理室外壳提供功率以维持等离子;
气体入口,将气体提供进该等离子处理室外壳;和
气体出口,将气体从该等离子处理室外壳排出;
气体源,与该气体入口流体连通,包括:
清洁气体源;
清洁等离子气体源;和
蚀刻层蚀刻气体源;
控制器,以可控制的方式连接到该气体源和该至少一个电极,包括:
至少一个处理器;和
计算机可读介质包括:
用于在蚀刻层上形成图案化光刻胶掩模的计算机可读代码;
用于清洁该斜缘的计算机可读代码,包括:
用于提供清洁气体的计算机可读代码,该清洁气体包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
用于由该清洁气体形成清洁等离子的计算机可读代码,;以及
用于将该斜缘暴露于该清洁等离子的计算机可读代码;
用于穿过该光刻胶特征将特征蚀刻进该蚀刻层的计算机可读代码;和
用于去除该光刻胶掩模的计算机可读代码。
27.一种蚀刻基片斜缘的设备,包括:
斜面蚀刻室,包括:
室壁,形成该斜面蚀刻室外壳;
基片支撑件,在该斜面蚀刻室外壳内支撑基片,其中该基片支撑件直径小于该基片直径;
压力调节器,调节斜面蚀刻室外壳中的压力;
至少一个电极,提供功率至该斜面蚀刻室外壳以维持等离子;
气体入口,将气体提供进该等离子处理室外壳;和
气体出口,将气体从该斜面蚀刻室外壳排出;
气体源,与该气体入口流体连通,其包含清洁气体,该气体包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;以及
控制器,以可控制的方式连接到该气体源和该至少一个电极,包括:
至少一个处理器;和
计算机可读介质包括:
用于提供清洁气体的计算机可读代码,该气体包括CO2、CO、CxHy、H2、NH3、CxHyFz或其组合的至少一个;
用于由该清洁气体形成清洁等离子的计算机可读代码;和
用于利用该清洁等离子清洁该斜缘的计算机可读代码。
28.根据权利要求27所述的设备,进一步包括:
等离子蚀刻室,包括:
室壁,形成该等离子蚀刻室外壳;
基片支撑件,在该等离子蚀刻室外壳内支撑基片;
压力调节器,调节该等离子蚀刻室外壳中的压力;
至少一个电极,提供功率至该等离子蚀刻室外壳以维持等离子;
气体入口,将气体提供进该等离子蚀刻室外壳;和
气体出口,将气体从该等离子蚀刻室外壳排出;
气体源,与该气体入口流体连通,包括蚀刻层蚀刻气体源;以及
控制器,以可控制的方式连接到该气体源和该至少一个电极,包括:
至少一个处理器;和
计算机可读介质包括:
用于在蚀刻层上形成图案化光刻胶掩模的计算机可读代码;
用于穿过光刻胶特征将特征蚀刻进该蚀刻层的计算机可读代码;以及
用于去除该光刻胶掩模的计算机可读代码。
CN2007800315476A 2006-08-25 2007-08-21 在斜面蚀刻处理期间避免低k损伤 Expired - Fee Related CN101506939B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/510,309 2006-08-25
US11/510,309 US7718542B2 (en) 2006-08-25 2006-08-25 Low-k damage avoidance during bevel etch processing
PCT/US2007/076444 WO2008024792A1 (en) 2006-08-25 2007-08-21 Low-k damage avoidance during bevel etch processing

Publications (2)

Publication Number Publication Date
CN101506939A true CN101506939A (zh) 2009-08-12
CN101506939B CN101506939B (zh) 2012-11-28

Family

ID=38896886

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800315476A Expired - Fee Related CN101506939B (zh) 2006-08-25 2007-08-21 在斜面蚀刻处理期间避免低k损伤

Country Status (5)

Country Link
US (3) US7718542B2 (zh)
KR (1) KR101426105B1 (zh)
CN (1) CN101506939B (zh)
TW (1) TWI423348B (zh)
WO (1) WO2008024792A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102033437B (zh) * 2009-09-25 2012-09-26 中芯国际集成电路制造(上海)有限公司 去胶方法
CN103972051A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
CN1978351A (zh) * 2005-12-02 2007-06-13 鸿富锦精密工业(深圳)有限公司 一种模仁保护膜的去除装置及方法
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5638405B2 (ja) * 2010-10-08 2014-12-10 パナソニック株式会社 基板のプラズマ処理方法
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
JP2014036104A (ja) * 2012-08-08 2014-02-24 Tokyo Electron Ltd パターン形成方法及び固体撮像装置
KR102151177B1 (ko) 2013-07-25 2020-09-02 삼성전자 주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
KR102300039B1 (ko) * 2014-08-04 2021-09-10 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 표시 장치의 제조 방법
US10903055B2 (en) 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
US9633862B2 (en) * 2015-08-31 2017-04-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and semiconductor manufacturing method
CN110718462B (zh) 2018-07-10 2022-01-18 联华电子股份有限公司 在半导体晶片上制作半导体结构的方法
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US11139168B2 (en) 2019-12-02 2021-10-05 Applied Materials, Inc. Chamber deposition and etch process
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4923562A (en) 1987-07-16 1990-05-08 Texas Instruments Incorporated Processing of etching refractory metals
US4820378A (en) * 1987-07-17 1989-04-11 Texas Instruments Incorporated Process for etching silicon nitride selectively to silicon oxide
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JPH07142449A (ja) * 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
JP3521587B2 (ja) 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6797633B2 (en) 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US7134941B2 (en) * 2002-07-29 2006-11-14 Nanoclean Technologies, Inc. Methods for residue removal and corrosion prevention in a post-metal etch process
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP2005039004A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
US7067441B2 (en) 2003-11-06 2006-06-27 Texas Instruments Incorporated Damage-free resist removal process for ultra-low-k processing
US20050189068A1 (en) * 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100532354B1 (ko) 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US20050284568A1 (en) * 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US7404874B2 (en) * 2004-06-28 2008-07-29 International Business Machines Corporation Method and apparatus for treating wafer edge region with toroidal plasma
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US7094689B2 (en) * 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060199370A1 (en) * 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102033437B (zh) * 2009-09-25 2012-09-26 中芯国际集成电路制造(上海)有限公司 去胶方法
CN103972051A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法
CN103972051B (zh) * 2014-05-20 2016-08-17 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法

Also Published As

Publication number Publication date
WO2008024792B1 (en) 2008-06-12
WO2008024792A1 (en) 2008-02-28
US20080050923A1 (en) 2008-02-28
KR20090046949A (ko) 2009-05-11
CN101506939B (zh) 2012-11-28
US20100175830A1 (en) 2010-07-15
TW200828454A (en) 2008-07-01
US7718542B2 (en) 2010-05-18
TWI423348B (zh) 2014-01-11
KR101426105B1 (ko) 2014-08-05
US20130299089A1 (en) 2013-11-14
US8500951B2 (en) 2013-08-06

Similar Documents

Publication Publication Date Title
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US9646844B2 (en) Method for forming stair-step structures
CN103258729B (zh) 硅结构的制造和带有形貌控制的深硅蚀刻
CN101675505B (zh) 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
US8475673B2 (en) Method and apparatus for high aspect ratio dielectric etch
US8912633B2 (en) In-situ photoresist strip during plasma etching of active hard mask
US8304262B2 (en) Wiggling control for pseudo-hardmask
CN101421830A (zh) 无限选择性的光刻胶掩膜蚀刻
CN100472707C (zh) 移除阻挡层后的无晶片自动清洗
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
US20110097904A1 (en) Method for repairing low-k dielectric damage
CN101542691B (zh) 在超高纵横比电介质刻蚀中减少扭曲
US8535549B2 (en) Method for forming stair-step structures
WO2005088693A1 (en) Line edge roughness control
CN101882580A (zh) 具有减少的低k介电损伤的剥除
JP4387801B2 (ja) 半導体ウェーハの乾式蝕刻方法
TWI576909B (zh) 絕緣層上矽蝕刻
CN101558479A (zh) 用于氢氟碳蚀刻的粘着层
USRE46464E1 (en) Method for forming stair-step structures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121128

Termination date: 20140821

EXPY Termination of patent right or utility model