CN101449363A - 能用于形成低k双镶嵌集成电路的有机抗反射底涂层刻蚀工艺 - Google Patents

能用于形成低k双镶嵌集成电路的有机抗反射底涂层刻蚀工艺 Download PDF

Info

Publication number
CN101449363A
CN101449363A CNA2007800183975A CN200780018397A CN101449363A CN 101449363 A CN101449363 A CN 101449363A CN A2007800183975 A CNA2007800183975 A CN A2007800183975A CN 200780018397 A CN200780018397 A CN 200780018397A CN 101449363 A CN101449363 A CN 101449363A
Authority
CN
China
Prior art keywords
etching
layer
planarization layer
organic planarization
barc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800183975A
Other languages
English (en)
Other versions
CN101449363B (zh
Inventor
詹斯·卡斯特恩·施奈德
肖莹
格拉多·戴戈迪诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101449363A publication Critical patent/CN101449363A/zh
Application granted granted Critical
Publication of CN101449363B publication Critical patent/CN101449363B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一些实施方式中,提供了一种在等离子体反应器中刻蚀抗蚀剂结构的有机平坦化层的方法,所述抗蚀剂结构包含处于掩蔽所述有机平坦化层的硬掩模上方的光刻胶掩模结构。该方法包括将包含N2、H2和O2的刻蚀剂气体化学剂引入所述等离子体反应器中并利用由所述刻蚀剂气体化学剂形成的等离子体刻蚀被掩蔽的所述有机平坦化层。该方法可以包括利用单个刻蚀步骤刻穿所述平坦化层,以形成沟槽。

Description

能用于形成低K双镶嵌集成电路的有机抗反射底涂层刻蚀工艺
背景技术
集成电路(IC)在现代半导体技术中起到很重要的作用。集成电路的发展已经使得拥有先进电子技术的现代世界成为可能。集成电路的应用非常广泛,它们显著影响我们的日常生活,从蜂窝电话、数字电视到摄像机中的快闪存储器芯片。对于更多功能性的需要要求增大集成到单个集成电路芯片上的晶体管的数量。这些集成电路通常被形成在硅衬底或晶片上,其可以通过用于多种由不同材料制成的层叠膜的结构化工艺包含有源半导体器件,可以获得存储容量。
最近,在现代半导体技术中,集成电路已经朝向具有更大存储量的更小器件(晶体管)发展。这通常要求缩小晶体管的尺寸。随着晶体管的尺寸减小,所得到的增大的密度要求集成电路芯片中的互连的数量增大。在半导体集成电路(IC)的制造中,通过在非导体材料中刻蚀过孔和沟槽,然后在其中填充铝、铜或其它材料,可以在硅晶片上制作连续的多个层。通常,在先进硅集成电路布线中,铜可以嵌入具有低介电常数值的绝缘材料中,得到快速芯片。
此外,随着晶体管数量的增加,在互连线之间需要多层互连以及连接不同层的过孔。
通常,为了用于制造高路线密度的技术,使用铜低k(电介质)互连。铜被发现是更好的导体,并且通常可以比铝更结实。
通常,电介质刻蚀被用于铜互连制造工序。此方法的优点是其可以根据创建具体器件所需的金属层的数量,重复所需要的次数。最近,从130nm节点到90nm节点以及之外的转变正在促使较之之前遇到的更大差异性的多种电介质材料和膜叠层的使用。
双镶嵌是对于铜技术所最广泛实施的电介质刻蚀方案。镶嵌通常作为将金属导体图案嵌入在非导体(电介质)材料中的工艺而为本领域技术人员所知。在双镶嵌中,工艺连续地创建被嵌入的过孔和沟槽,过孔形成从一层电路(沟槽)到下一层电路的垂直连接。在电介质材料中刻蚀过孔和沟槽通常避免了与刻蚀铜相同的困难,而是通过称为金属化的工序用铜填充刻蚀出的特征。
随着技术发展,不断的小型化已经带来一系列的技术要求和复杂性。对应于这些要求,发展了称为抗反射涂层的薄膜涂层。可用的抗反射涂层包括顶涂层和底涂层(TARC和BARC),有机或者无机底有机抗反射涂层(BARC)通常经由旋涂沉积涂敷,并且可以提供优异的填充和平坦化性能,但也可能受困于不良的相对于有机光刻胶的刻蚀选择性。
底抗反射涂层(BARC)和/或有机平坦化层(OPL)可以用于先前刻蚀的特征上的图案化,如在双镶嵌刻蚀的情形。双层BARC方法通常用于130nm,并且通常成本更低。
随着设计规范对于65nm技术节点(以及之外)变得越来越严格,沟槽CD均一性和偏差控制、沟槽外形、沟槽深度均一性、低k电介质完整性以及TEOS/低k电介质(SiCO)和BLoK刻蚀停止层(SiCN)之间的刻蚀选择性可能成为发展复杂的BARC辅助低k双镶嵌刻蚀工艺中的重要方面。对于针对铜/低k电介质材料的双镶嵌图案化方案,双镶嵌刻蚀可能带来许多挑战。大的沟槽CD非均一性(尤其是在较低层的金属线处,诸如M2和M3)可能由于较宽的CD区域中的金属线之间的太窄的间距而导致金属线桥接,或者导致在较窄的CD区域中的大的高宽比沟槽中的铜填充空洞。
此外,合适向过孔中的BARC凹入对于避免过孔栅栏的形成和过度的过孔刻面是关键的。这是因为栅栏可能成为在随后的铜电镀工艺中由不足的铜阻挡和晶种覆盖导致的铜空洞的核,而过度的过孔刻面将在低k氧化物沟槽刻蚀过程中导致BLoK刻蚀停止层的侵蚀。不均一的沟槽深度和低k损伤可能增大RC延迟,这可能损害器件性能。最后,非选择性BLoK刻蚀停止层开口可能导致沟槽/过孔CD加宽和TEOS覆盖层的过度侵蚀,这可能产生不充分的铜抛光停止,从而导致低k电介质抛光损伤。下层铜线和上方过孔之间的错位引起可能在铜镀覆工艺中导致空洞的缺口,引起后续的器件可靠性问题。
传统上,有机BARC开口工艺基于N2/H2化学剂,以利用其良好的CD和外形控制。但是,对于此工艺,本发明人已经观察到可能存在主要的缺点。N2/H2BARC开口工艺可能遭受由在宽沟槽区域中更高程度的烃聚合物形成导致的严重的反向微负载效应。此反向微负载效应可能导致窄沟槽区域中的过度的向过孔中的BARC凹入或者在宽沟槽区域中的不足的向过孔中的BARC凹入。
因此,在双镶嵌集成电路中需要开发一种新的BARC刻蚀工艺。此外,需要实现具有优异的CD控制和直的侧壁外形的无微负载的有机BARC开口。另外,需要提供一种克服上述缺点中的一项或多项的工艺,以改善低k双镶嵌沟槽外形、CD均一性和/或沟槽深度控制。
如本领域技术人员将理解的,需要可以在双镶嵌刻蚀中刻蚀有机平坦化层的方法。这样的刻蚀方法应该优选地不具有不期望的低刻蚀速率的性质。另外,需要可以在双镶嵌刻蚀中刻蚀BARC有机平坦化层的方法,所述方法具有成本有效性,具有高选择性和合理高的刻蚀速率。
发明内容
在一些实施方式中,提供了一种在等离子体反应器中在抗蚀剂结构的有机平坦化层中刻蚀沟槽的方法,所述抗蚀剂结构包含处于掩蔽所述有机平坦化层的硬掩模上方的光刻胶掩模结构。该方法包括将包含N2、H2和O2的刻蚀剂气体化学剂引入所述等离子体反应器中,以及利用由所述刻蚀剂气体化学剂形成的等离子体刻蚀被掩蔽的所述有机平坦化层。该方法可以包括利用单个刻蚀步骤刻穿平坦层以形成沟槽。在一些实施方式中,O2的引入可以用于调控有机平坦化材料的刻蚀过程中的刻蚀速率微负载。
在一些实施方式中,其中N2:H2:O2的刻蚀剂气体化学剂具有约10:10:x的流量比,其中x处于约1到约3的范围内。在一些实施方式中,N2:H2:O2的刻蚀剂气体化学剂具有约10:10:1的流量比。在其它实施方式中,流量比为约10:10:2。此外,在一些实施方式中,偏压功率频率为约13.56MHz或小于13.56MHz,并且在一些实施方式中,使用约2MHz的偏压功率。此外,等离子体源功率可以在约300Watt到约2000Watt之间。在一个实施方式中,使用约800Watt下的约13.56MHz的偏压功率频率、约1200Watt的等离子体源功率、约10:10:2的流量比。
附图说明
图1A示出了衬底的侧视剖视图,示出了在低k电介质层上方形成过孔图案化光刻胶层,电介质层形成在衬底上的刻蚀停止层上方,衬底具有第一沟槽金属层。
图1B示出了衬底的侧视剖视图,示出了过孔光刻之后去除光刻胶层,以及示出了向低k电介质层中的过孔刻蚀,停止在刻蚀停止层处。
图1C示出了衬底的侧视剖视图,示出了形成BARC有机平坦化层和SOG层旋涂,以完全覆盖过孔。
图1D示出了衬底的侧视剖视图,示出了在SOG/BARC有机平坦化层上形成沟槽图案光刻胶层。
图1E示出了衬底的侧视剖视图,示出了在SOG刻蚀之后形成BARC有机平坦化层开口以将BARC有机平坦化层凹入到过孔中。
图1F示出了衬底的侧视剖视图,示出了利用BARC有机平坦化层作为刻蚀掩模形成沟槽图案。
图1G示出了衬底的侧视剖视图,示出了低k电介质层的顶面上的和过孔中的BARC有机平坦化层被原位灰化剥离。
图1H示出了衬底的侧视剖视图,示出了通过将图1F的双镶嵌结构连接到下层金属层完成刻蚀停止层开口工艺。
图2示出了衬底的侧视剖视图,示出了在图1D中在低k电介质层和BARC有机平坦化层60之间具有可选的封盖层。
具体实施方式
在利用BARC辅助刻蚀工艺形成低k双镶嵌结构的一种方法中,N2H2化学剂与氧一起被用于在有机平坦化材料或OPL的刻蚀过程调控刻蚀速率微负载。
通过气体比例调节(N2:H2比例),此独特的气体刻蚀剂混合物化学剂可以控制底切和外形锥度,由此对于广泛用于先进双镶嵌结构的三层抗蚀剂集成方案提供OPL刻蚀的CD控制。
将O2加入到此还原化学剂可以调控刻蚀速率微负载(即小的和大的特征中不同的刻蚀速率)。N2/H2的气体混合物独自可能在越大的特征中刻蚀越慢,这是不利的。因此,在一些实施方式中,加入O2提高大特征中的刻蚀速率。在另一实施方式中,此工艺可以用于刻蚀其它有机材料,诸如旋涂低k材料,碳硬掩模等。
图1A示出了衬底的侧视剖视图,示出了在低k电介质层40上方形成过孔图案化光刻胶层,低k电介质层形成在衬底10上的刻蚀停止层30上方,衬底10具有第一沟槽金属层。
现在具体参考图1A,其示出了一些实施方式的部分完成的集成电路器件的横截面。双镶嵌过孔将被用于示例目的。提供半导体衬底10。为了简化说明,半导体衬底10被示为单个的层。半导体衬底10的本体优选包含单晶硅。半导体衬底10可以还包含数种附加的微电子层中的任意一种,诸如导体层和绝缘体层,如过孔、接触孔和导线的互连结构以及如栅电极和掺杂结的器件结构。
形成上覆于衬底10的金属层20。优选地,金属层20包含铜电极。还应被理解的是,铜导体可以是双镶嵌或单镶嵌互连结构(没有被示出)的一部分。铜导体可以由本领域技术人员已知的任何方法形成。优选地,例如,铜层20通过物理气相沉积(PVD)、电镀和化学机械抛光(CMP)工序来沉积。铜层20然后被图案化,以形成铜导体。
沉积上覆于衬底金属层20的刻蚀停止层30。在一些实施方式中,双镶嵌沟槽刻蚀方法使用此刻蚀停止层30,以便于创建上方层,或互连,沟槽。在一些实施方式中,刻蚀停止层30也可以用于在干法刻蚀过程中防止铜从金属层20中的铜导体向外扩散到上覆的电介质材料中或者防止其氧化。刻蚀停止层30可以包含氮化硅、氧氮化硅、铜阻挡低k膜或应用材料公司的BlokTM、碳化硅或氮化硼。刻蚀停止层30优选地包含应用材料公司的BlokTM。刻蚀停止层30被沉积到约300埃到1000埃之间的厚度。
沉积上覆于刻蚀停止层30的低k电介质层40。低k电介质层40的目的是为后续形成的单镶嵌互连提供电介质阻挡。优选地,低k介电常数小于4.0,并且由本领域技术人员已知的典型方法形成。此外,通过使用具有低介电常数的材料,可以使得电容负载和耦合问题最小化。第一低介电常数层40优选包含有机材料、掺碳二氧化硅或者掺氢二氧化硅。或者,可以使用非掺杂二氧化硅或氟化二氧化硅。落入上述说明内容并且可以用于形成第一低介电常数层62的材料类型可以包括但不限于:多孔有机材料、非多孔有机材料、多孔氟化有机材料、非多孔氟化有机材料、多孔掺氢二氧化硅、非多孔掺氢二氧化硅、多孔掺碳二氧化硅以及非多孔掺碳二氧化硅。具体的材料包括但不限于,聚(亚芳基)醚或者Dow ChemicalCorp.的SILKTM,氟化芳基醚或者Allied Signal Corp.或Honeywell Corp.的FLARETM,无定型氟碳(α-C:F),聚四氟乙烯(PTFETM)或者Dupont Corp.的TeflonTM,聚对二甲苯-F,聚对二甲苯,烷基硅倍半氧烷,芳基硅倍半氧烷,聚(硅氮烷),聚(亚芳基)醚,掺甲烷的氧化硅或者应用材料公司的Black DiamondTM,掺碳二氧化硅或Novellus Corp.的CoralTM,氟化聚(亚芳基)醚以及氢硅倍半氧烷。优选地,低介电常数层是应用材料公司的Black DiamondTM
第一低介电常数层40可以通过旋涂沉积。旋涂之后可以在炉中或者在快速热退火(RTA)设备中进行热处理或进行老化。第一低介电常数层40优选沉积到约2000埃到10000埃之间的厚度。
作为一个重要特征,根据图1A,沉积上覆于低k电介质层40的有机光刻胶层50。可选的有机底抗反射涂层(BARC)也可以被涂敷。光刻胶层50将被图案化,以形成用于过孔或沟槽的掩模。优选地,光刻胶层在整个图案化衬底表面提供相等的反射率,在193nm下具有充足的吸收性能。光刻胶层50可以包含若干本领域常用的光敏化合物中的一种。
参考图1B,其示出了过孔光刻之后去除光刻胶层,以及示出了向低k电介质层中的期望的过孔刻蚀的结果,停止在刻蚀停止层处。
在图1D中所示的光刻胶层50可以利用典型的光刻工艺被图案化,其中,其通过掩模被曝光。显影工艺去除不需要的光刻胶层50,以暴露低k电介质层40或可选的BARC层,在此计划形成沟槽。
通常,光刻胶层可以根据本领域技术人员已知的方法剥离,诸如利用氧灰化或者利用溶剂,然后进行清洁。
参考图1C,在一个实施方式中,方法示出了形成BARC有机平坦化层和SOG层旋涂,以完全覆盖过孔。在一个实施例中,通过本领域技术人员已知的任何方法在BARC有机平坦化层上方形成硬掩模层70。通常,硬掩模可以是LTO、等离子体增强化学气相沉积氧化物或者PECVD氧化物、BSG或者掺硼旋涂玻璃、其它氧化物硬掩模、氮化硅或者其它硬掩模。
对于图1D,在一个实施方式中,示出了在SOG/BARC有机平坦化层上形成沟槽图案光刻胶层50。同样,光刻胶层50可以由前述的本领域技术人员已知的方法形成,并且沟槽图案被刻蚀。
参考图1E,在一个实施方式中,在SOG刻蚀之后,形成BARC有机平坦化层开口以将BARC有机平坦化层凹入到过孔中。在此步骤中,包含N2:H2/O2的刻蚀剂气体混合物被用于刻蚀BARC有机平坦化层60。
对于图1E,在一个实施方式中,BARC有机平坦化层60的刻蚀是N2:H2/O2。N2对H2和对O2的比例被选择,以提供期望的刻蚀速率和对于BARC有机平坦化层60高的选择性。BARC有机平坦化层60具有与光刻胶相似的内容。
在一个实施例中,利用三层抗蚀剂集成方案的用于低k双镶嵌刻蚀的传统OPL刻蚀工艺是基于N2/H2,其中H2是OPL侧壁钝化的来源,N2是刻蚀游离基的来源。调节N2/H2比提供了N2和H2的优化组合,以实现最好的CD和外形控制。在一些实施方式中,利用1:1的N2/H2比实现了优异的外形。随着N2的流量低于H2流量,过度的侧壁钝化导致具有锥度的OPL外形以及减小的底部CD。在一些实施方式中,随着N2流量高于H2流量,在OPL顶部发生回缩,意味着钝化不足。在一些实施方式中,需要范围在约10mTorr到约200mTorr的低压来获得良好的CD和外形。虽然在低压下1:1的N2/H2工艺实现了竖直的OPL外形以及良好的CD控制,但是可以观察到严重的反向微负载。可能形成更大量的烃聚合物,其可以降低OPL刻蚀速率。
对于一些实施方式,可以使用1:2的N2/H2比,但是可能导致过度的OPL侧壁钝化以及负的CD偏差。此外,在一些实施方式中,可以使用2:1的N2/H2比,但是可能观察到不足的OPL侧壁钝化。
典型N2/H2OPL刻蚀工艺中的反向微负载可能在窄的沟槽区域中由于较少的烃聚合物形成而导致较快的刻蚀速率和较大的OPL插塞凹入,而与之相比,在宽的沟槽区域中由于较多的烃聚合物形成而导致较慢的刻蚀速率和较小的OPL插塞凹入。
因此,任何对于OPL部分的刻蚀速率微负载可以影响整体的性能,例如整体的CD控制,以及由所允许的OPL插塞凹入的窗口限定的栅栏-角部倒角性能。
在各种实施方式中,将O2添加到气体刻蚀剂混合物中有助于刻蚀宽沟槽中的有机平坦化层60中的烃聚合物,由此较之小沟槽更大程度提高宽沟槽中的刻蚀速率。
足够量的O2将使得宽沟槽中的刻蚀速率与小沟槽中的刻蚀速率匹配,即将微负载减小到零或接近零。如果与利用较低频率(例如2MHz)偏压功率的较宽的离子能量分布相结合,提供更高的溅射分量以破裂宽沟槽中的烃聚合物,则10:10:1的N2/H2/O2气体流量比表现出明显的改善。在一些实施方式中,当与较高频率的偏置(诸如13.56MHz)一起运行时,相同的气体流量比得到更高的微负载,则可以用由较高的偏压频率所提供的平均来说在较低离子能量处窄的离子能量带来解释。因此,溅射分量将被减小,由于上述的烃聚合物的形成而在宽沟槽中导致较慢的刻蚀速率。
对于利用10:10:1的气体流量比和2MHz偏压的N2/H2/O2OPL刻蚀工艺的减小的微负载导致较之窄沟槽中的宽沟槽中的稍慢的刻蚀速率,OPL插塞凹入差异由于OPL起始厚度的偏差而更显著。在一个实施方式中,对于利用10:10:1的气体流量比和13MHz偏压的N2/H2/O2OPL刻蚀工艺的微负载导致较之N2/H2工艺减小的微负载,但是较之利用2MHz偏压的N2/H2/O2OPL刻蚀增大的微负载。
此外,增大的O2流量(10:10:2的N2/H2/O2比)导致非常低的刻蚀速率微负载,即使是对于较高偏压频率工艺,突出了当试图减小宽沟槽中的大量的烃聚合物形成时氧的作用。
RF功率水平(162MHz源和2-13.56MHz偏压)控制刻蚀速率和外形;源功率控制N2、H2和O2的解离和刻蚀速率以及侧壁钝化;偏压功能控制整体离子能量水平和刻蚀速率,偏压频率的功率比控制离子能量分布和刻蚀速率微负载。源功率可以在约300Watt到约2000Watt的范围内。偏压功率可以在约100Watt到约1500Watt的范围内,偏压功率频率在约1到约13.56MHz的范围内。在一个实施方式中,偏压功率频率为约13.56MHz,偏压功率为约800Watt,并且源功率为1200Watt,N2/H2/O2比为10:10:2。
参考图1F,在一个实施方式中,示出了利用BARC有机平坦化层作为刻蚀掩模形成沟槽图案。硬掩模层70、BARC有机平坦化层60以及可选的封盖层80(图2)和低k电介质层70被刻穿,以形成互连沟槽。此刻蚀通常利用本领域技术人员已知的方法来执行。
参考图1G,在一个实施方式中,示出了低k电介质层的顶面上的和过孔中的BARC有机平坦化层被原位灰化剥离。优选地,此步骤停止在刻蚀停止层30。在此,使用可选的刻蚀停止层30便于更容易地形成双镶嵌结构中的独立的互连和过孔层。
参考图1H,在一个实施方式中,示出了通过将图1F的双镶嵌结构连接到下层金属层完成刻蚀停止层30开口工艺。在另一个实施方式中,优化的低k氧化物双镶嵌沟槽刻蚀以及创新的SiCN刻蚀已经被开发用于65nm以及以外的技术节点。此新的有效刻蚀工艺提供2.9%的优异CD均一性和小于5nm的CD偏差,4%的精确M2芯棒阻抗。
参考图2,在一个实施方式中,示出了在图1D中在低k电介质层40和BARC有机平坦化层60之间具有可选的封盖层。封盖层80优选地包含TEOS、氮化硅、氧氮化硅或碳化硅,其已经通过本领域技术人员已知的方法沉积到约500埃到5000埃之间的厚度。并且,可选的BARC层55可以位于光刻胶50下方。
虽然参考本发明的优选实施例具体地示出和描述了本发明,但是本领域技术人员将理解,可以进行各种形式和细节的修改而不偏离本发明的精神和范围。同时,相同的方法显然可以用于其它相似的众多引用的工艺步骤。例如,本发明的方法可以扩展和应用于形成三层或更多层镶嵌结构,而不偏离本发明的精神和范围。此外,在此举例说明的双镶嵌结构是以“过孔在先”方式形成的。该结构也可以以“沟槽在先”方式形成,或者通过使用“自对准过孔”技术或者另一种本领域公知的技术形成,而不偏离本发明的精神和范围。

Claims (21)

1.一种在等离子体反应器中刻蚀抗蚀剂结构的有机平坦化层的方法,所述抗蚀剂结构包含处于掩蔽所述有机平坦化层的硬掩模上方的光刻胶掩模结构,所述方法包括:
将包含N2、H2和O2的刻蚀剂气体化学剂引入所述等离子体反应器中;以及
利用由所述刻蚀剂气体化学剂形成的等离子体刻蚀被掩蔽的所述有机平坦化层。
2.如权利要求1所述的方法,其中刻蚀所述有机平坦化层包括刻穿所述平坦化层以在所述有机平坦化层中形成沟槽。
3.如权利要求2所述的方法,其中刻穿所述平坦化层以形成所述沟槽包括利用单个刻蚀步骤刻穿所述平坦化层。
4.如权利要求2所述的方法,其中刻蚀所述有机平坦化层包括以基本相同的刻蚀速率刻蚀不同横截面积的沟槽。
5.如权利要求1所述的方法,其中引入所述刻蚀剂气体化学剂包括引入O2以在所述有机平坦化材料的刻蚀过程中调控刻蚀速率微负载。
6.如权利要求1所述的方法,其中引入包括以约10:10:x的流量比引入N2:H2:O2的刻蚀剂气体化学剂,其中x处于约1到约3的范围内。
7.如权利要求6所述的方法,其中刻蚀所述有机平坦化层包括利用如下之一的偏压功率频率:(a)约13.56MHz或(b)小于约13.56MHz。
8.如权利要求7所述的方法,其中刻蚀所述有机平坦化层包括利用约100Watt到约1500Watt之间的偏压功率。
9.如权利要求8所述的方法,其中刻蚀所述有机平坦化层包括利用约300Watt到约2000Watt之间的等离子体源功率。
10.如权利要求1所述的方法,其中引入包括以约10:10:1的流量比引入N2:H2:O2的刻蚀剂气体化学剂,其中刻蚀所述有机平坦化层包括利用约2MHz的偏压功率频率。
11.如权利要求1所述的方法,其中引入包括以约10:10:2的流量比引入N2:H2:O2的刻蚀剂气体化学剂,其中刻蚀包括利用约13.56MHz的偏压功率频率、约800Watt的偏压功率和约1200Watt的等离子体源功率的刻蚀。
12.如权利要求1所述的方法,其中刻蚀所述有机平坦化层包括利用约2MHz的偏压功率。
13.如权利要求1所述的方法,其中刻蚀所述有机平坦化层包括利用小于约13.56MHz的偏压功率。
14.如权利要求1所述的方法,其中刻蚀所述有机平坦化层包括在刻蚀所述有机平坦化层的同时刻蚀掉所述光刻胶掩模。
15.如权利要求1所述的方法,其中刻蚀所述有机平坦化层包括在刻蚀有机BARC。
16.一种利用等离子体反应器用于形成低k镶嵌集成电路器件的方法,所述方法包括刻蚀抗蚀剂结构的BARC层,所述抗蚀剂结构包含处于掩蔽所述BARC层的硬掩模上方的光刻胶掩模,其中刻蚀所述BARC层包括:
将包含流量比为10:10:x的N2、H2和O2的刻蚀剂气体化学剂引入所述等离子体反应器中,其中x处于约1到约3的范围内;以及
利用由所述刻蚀剂气体化学剂形成的等离子体刻穿所述BARC层,以在所述BARC中定义沟槽。
17.如权利要求16所述的方法,其中刻蚀所述有机平坦化层包括以基本相同的刻蚀速率刻蚀不同横截面积的沟槽。
18.如权利要求16所述的方法,其中引入包括以约10:10:2的流量比引入N2:H2:O2的刻蚀剂气体化学剂,其中刻蚀包括利用约13.56MHz的偏压功率频率、约800Watt的偏压功率和约1200Watt的等离子体源功率的刻蚀。
19.一种利用等离子体反应器的用于形成低k镶嵌集成电路器件的有机BARC刻蚀方法,所述方法包括:
提供半导体衬底,所述半导体衬底具有形成在所述衬底上的沟槽金属层上方的刻蚀停止层;
在所述刻蚀停止层上方形成低k电介质层;
在所述低k电介质层上方沉积过孔图案化光刻胶层;
去除所述光刻胶层,此后刻穿所述低k电介质层至所述刻蚀停止层,以形成过孔开口;
在所述过孔上方形成有机平坦化层;
由所述BARC有机平坦化层上方的光刻胶层和硬掩模层形成沟槽掩模;
将包含N2、H2和O2的刻蚀剂气体混合物引入所述等离子体反应器中;以及
利用所述刻蚀剂混合物刻蚀所述BARC有机平坦化层,以利用所述刻蚀剂气体混合物将所述BARC有机平坦化层中的沟槽开口形成到所述过孔。
20.如权利要求19所述的方法,其中所述刻蚀剂气体混合物流量比N2:H2:O2为约10:10:x,其中x处于约1到约3的范围内。
21.如权利要求19所述的方法,还包括:
利用经刻蚀的所述BARC有机平坦化层作为刻蚀掩模,在所述低k电介质层中形成沟槽图案;
剥离所述BARC有机平坦化层;以及
开口所述刻蚀停止层,以暴露所述沟槽金属层。
CN2007800183975A 2006-03-20 2007-03-20 能用于形成低k双镶嵌集成电路的有机抗反射底涂层刻蚀工艺 Expired - Fee Related CN101449363B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/385,256 US7828987B2 (en) 2006-03-20 2006-03-20 Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US11/385,256 2006-03-20
PCT/US2007/007010 WO2007123616A2 (en) 2006-03-20 2007-03-20 Organic barc etch process capable of use in the formation of low k dual damascene integrated circuits

Publications (2)

Publication Number Publication Date
CN101449363A true CN101449363A (zh) 2009-06-03
CN101449363B CN101449363B (zh) 2010-12-01

Family

ID=38518439

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800183975A Expired - Fee Related CN101449363B (zh) 2006-03-20 2007-03-20 能用于形成低k双镶嵌集成电路的有机抗反射底涂层刻蚀工艺

Country Status (6)

Country Link
US (1) US7828987B2 (zh)
EP (1) EP2002467A2 (zh)
JP (1) JP2009530863A (zh)
KR (1) KR101046862B1 (zh)
CN (1) CN101449363B (zh)
WO (1) WO2007123616A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102891103A (zh) * 2012-09-17 2013-01-23 上海华力微电子有限公司 一种制备顶层金属互联工艺刻蚀中间停止层的方法
CN102906860A (zh) * 2010-05-28 2013-01-30 应用材料公司 平坦化蚀刻硬掩模以增加图案密度与纵横比
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103165576A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN104701143A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 用于鲁棒金属化剖面的双层硬掩模
CN104900510A (zh) * 2015-06-29 2015-09-09 上海华力微电子有限公司 刻蚀映射关系模型和控制浅槽隔离刻蚀关键尺寸的方法
CN105074887A (zh) * 2013-02-21 2015-11-18 精工电子有限公司 紫外线擦除型非易失性半导体装置
CN113053805A (zh) * 2021-03-11 2021-06-29 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9196270B1 (en) 2006-12-07 2015-11-24 Western Digital (Fremont), Llc Method for providing a magnetoresistive element having small critical dimensions
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US8003488B2 (en) * 2007-09-26 2011-08-23 International Business Machines Corporation Shallow trench isolation structure compatible with SOI embedded DRAM
US8815745B2 (en) * 2008-02-01 2014-08-26 Lam Research Corporation Reducing damage to low-K materials during photoresist stripping
DE102008016424B4 (de) * 2008-03-31 2011-06-01 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
US8316527B2 (en) 2008-04-01 2012-11-27 Western Digital (Fremont), Llc Method for providing at least one magnetoresistive device
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8349195B1 (en) 2008-06-27 2013-01-08 Western Digital (Fremont), Llc Method and system for providing a magnetoresistive structure using undercut free mask
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US9400529B2 (en) 2013-09-27 2016-07-26 Apple Inc. Electronic device having housing with embedded interconnects
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
US9454177B2 (en) 2014-02-14 2016-09-27 Apple Inc. Electronic devices with housing-based interconnects and coupling structures
CN105097493B (zh) * 2014-04-24 2020-09-08 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
CN111627855B (zh) * 2019-06-27 2021-05-25 长江存储科技有限责任公司 互连结构及其形成方法
US20230207380A1 (en) * 2021-12-23 2023-06-29 Nanya Technology Corporation Method of manufacturing semiconductor device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338398A (en) 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5492597A (en) 1994-05-13 1996-02-20 Micron Semiconductor, Inc. Method of etching WSix films
EP0932190A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method of plasma etching the tungsten silicide layer in the gate conductor stack formation
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6407004B1 (en) 1999-05-12 2002-06-18 Matsushita Electric Industrial Co., Ltd. Thin film device and method for manufacturing thin film device
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP3974319B2 (ja) 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
TW479322B (en) 2000-09-25 2002-03-11 United Microelectronics Corp Manufacturing method of local inter connect contact opening
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch
US6875699B1 (en) 2001-06-21 2005-04-05 Lam Research Corporation Method for patterning multilevel interconnects
US6495469B1 (en) 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
KR100464430B1 (ko) 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6774031B2 (en) 2002-12-17 2004-08-10 Texas Instruments Incorporated Method of forming dual-damascene structure
AU2003297861A1 (en) * 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102906860A (zh) * 2010-05-28 2013-01-30 应用材料公司 平坦化蚀刻硬掩模以增加图案密度与纵横比
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103165576A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103165576B (zh) * 2011-12-13 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN102891103B (zh) * 2012-09-17 2015-01-21 上海华力微电子有限公司 一种制备顶层金属互联工艺刻蚀中间停止层的方法
CN102891103A (zh) * 2012-09-17 2013-01-23 上海华力微电子有限公司 一种制备顶层金属互联工艺刻蚀中间停止层的方法
CN105074887A (zh) * 2013-02-21 2015-11-18 精工电子有限公司 紫外线擦除型非易失性半导体装置
CN104701143B (zh) * 2013-12-10 2020-05-08 台湾积体电路制造股份有限公司 用于鲁棒金属化剖面的双层硬掩模
CN104701143A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 用于鲁棒金属化剖面的双层硬掩模
CN104900510A (zh) * 2015-06-29 2015-09-09 上海华力微电子有限公司 刻蚀映射关系模型和控制浅槽隔离刻蚀关键尺寸的方法
CN104900510B (zh) * 2015-06-29 2018-01-26 上海华力微电子有限公司 刻蚀映射关系模型和控制浅槽隔离刻蚀关键尺寸的方法
CN113053805A (zh) * 2021-03-11 2021-06-29 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
CN113053805B (zh) * 2021-03-11 2022-06-10 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构

Also Published As

Publication number Publication date
KR20080109849A (ko) 2008-12-17
WO2007123616A2 (en) 2007-11-01
KR101046862B1 (ko) 2011-07-06
WO2007123616A3 (en) 2008-08-28
JP2009530863A (ja) 2009-08-27
US7828987B2 (en) 2010-11-09
US20070218679A1 (en) 2007-09-20
EP2002467A2 (en) 2008-12-17
CN101449363B (zh) 2010-12-01

Similar Documents

Publication Publication Date Title
CN101449363B (zh) 能用于形成低k双镶嵌集成电路的有机抗反射底涂层刻蚀工艺
US7265056B2 (en) Method for forming novel BARC open for precision critical dimension control
US6194128B1 (en) Method of dual damascene etching
US7030031B2 (en) Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US6410437B1 (en) Method for etching dual damascene structures in organosilicate glass
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
US6197681B1 (en) Forming copper interconnects in dielectric materials with low constant dielectrics
CN107731739B (zh) 半导体结构的形成方法
US7247555B2 (en) Method to control dual damascene trench etch profile and trench depth uniformity
US6774031B2 (en) Method of forming dual-damascene structure
US20070238306A1 (en) Method of forming dual damascene semiconductor device
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
JP2004289155A (ja) 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング
US6413438B1 (en) Method of forming via hole by dry etching
US6376367B1 (en) Method for manufacturing multilayer interconnects by forming a trench with an underlying through-hole in a low dielectric constant insulator layer
KR20040101008A (ko) 반도체 장치의 제조 방법
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP2005005697A (ja) 半導体装置の製造方法
KR100909175B1 (ko) 듀얼 다마신 패턴 형성 방법
KR20050086301A (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
KR101024871B1 (ko) 듀얼 다마신 패턴 형성 방법
KR101138075B1 (ko) 이중 다마신 패턴 형성 방법
KR100236052B1 (ko) 반도체장치의 다층배선 형성방법
KR101152261B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101201

Termination date: 20150320

EXPY Termination of patent right or utility model