KR20080109849A - 낮은 k 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 barc 식각 프로세스 - Google Patents

낮은 k 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 barc 식각 프로세스 Download PDF

Info

Publication number
KR20080109849A
KR20080109849A KR1020087025159A KR20087025159A KR20080109849A KR 20080109849 A KR20080109849 A KR 20080109849A KR 1020087025159 A KR1020087025159 A KR 1020087025159A KR 20087025159 A KR20087025159 A KR 20087025159A KR 20080109849 A KR20080109849 A KR 20080109849A
Authority
KR
South Korea
Prior art keywords
etching
planarization layer
organic planarization
organic
layer
Prior art date
Application number
KR1020087025159A
Other languages
English (en)
Other versions
KR101046862B1 (ko
Inventor
옌스 카르슈텐 슈나이더
잉 시아오
가라르도 델가디노
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080109849A publication Critical patent/KR20080109849A/ko
Application granted granted Critical
Publication of KR101046862B1 publication Critical patent/KR101046862B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

몇몇 구현예들에서, 상기 유기 평탄화 층을 마스킹하는 하드마스크 상부에 포토레지스트 마스크 구조물을 포함하는 레지스트 구조물의 유기 평탄화 층의 트렌치를 플라즈마 반응기에서 식각하기 위한 방법이 제공된다. 이는 N2, H2 및 O2를 포함하는 에천트 가스 화학제를 상기 플라즈마 반응기로 유입시키는 단계; 및 상기 에천트 가스 화학제로부터 형성된 플라즈마를 이용하여 마스킹된 유기 평탄화 층을 식각하는 단계를 포함할 수 있다. 이는 단일 식각 단계에 의해 트렌치를 형성하기 위해 상기 평탄화 층을 통하여 식각하는 단계를 포함할 수 있다.

Description

낮은 K 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 BARC 식각 프로세스{ORGANIC BARC ETCH PROCESS CAPABLE OF USE IN THE FORMATION OF LOW K DUAL DAMASCENE INTEGRATED CIRCUITS}
본 발명은 낮은 K 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 BARC 식각 프로세스에 관한 것이다.
집적회로들(IC)은 현대의 반도체 기술 분야에서 중요한 역할을 한다. 집적회로들의 개발은 개선된 전기 기술과 함께 현대 사회를 가능하게 했다. 집적회로들의 애플리케이션들은 매우 폭넓게 퍼져있고, 이들의 중요성은 휴대전화, 디지털 TV에서부터 카메라의 플래시 메모리 칩들까지 우리의 모든 일상생활에 영향을 준다. 고기능성에 대한 요구는 단일 집적회로 칩에 집적될 트랜지스터들의 수의 증가를 요구한다. 이러한 집적회로들은 통상적으로 실리콘 기판들 또는 웨이퍼들 상에 형성되고, 실리콘 기판들 또는 웨이퍼들은 메모리 용량을 허용하는 상이한 물질들로 이루어진 광범위한 적층된 층들에 대해 구조화된 프로세스들에 의한 능동 반도체 소자들을 포함할 수 있다.
최근에, 현대의 반도체 기술에서, 집적회로들은 보다 많은 메모리를 가진 보다 작은 소자들(트랜지스터들)로 진화하였다. 이는 통상적으로 트랜지스터들의 크 기들을 감소시키는 것을 요구한다. 트랜지스터들의 크기들이 감소함에 따라, 결과적인 밀도 증가는 집적회로 칩내의 상호접속부들의 수의 증가를 요구한다. 반도체 집적회로들(IC)의 제조시에, 비전도성 물질들의 비아(via)들과 트렌치들을 식각한 다음 알루미늄, 구리 또는 다른 금속으로 이들을 충전함으로써, 실리콘 웨이퍼 상에 연속적인 레벨들이 형성될 수 있다. 통상적으로, 개선된 실리콘 집적회로 배선에서, 구리는 낮은 유전상수 값들을 가진 절연 물질들내에 내장될 수 있고, 이는 고속의 칩들을 형성한다.
또한, 트랜지스터들의 수가 급격하게 증가함에 따라, 상이한 레벨들을 접속시키는 비아들과 상호접속 라인들 사이에 다중 레벨의 상호접속부들이 요구된다.
통상적으로, 높은 라우팅 밀도의 제조 기술을 위해, 낮은 k(유전체) 구리 상호접속부들이 사용된다. 구리는 양호한 전도체인 것으로 알려져 있으며 일반적으로 알루미늄 보다 더 견고(robust)할 수 있다.
통상적으로, 유전체 식각은 구리 상호접속부 제조 시퀀스에 사용된다. 이러한 방법에 의한 장점들은 특정한 소자를 생성하는데 필요한 금속층들의 수에 따라, 필요한 만큼 많은 횟수로 반복될 수 있다는 점이다. 최근에, 130nm 노드로부터 90nm 및 이를 초과하는 변화는 이전에 직면했던 것보다 더 많은 다양한 유전체 물질들과 막 적층물들의 사용을 불러왔다.
이중 다마신은 구리 기술을 위해 가장 널리 실시되는 유전체 식각 방법이다. 다마신은 통상적으로 금속 전도체 패턴이 비전도성(유전체) 물질내에 내장되는 프로세스로서 통상의 당업자에게 공지되어 있다. 이중 다마신에서, 프로세스는 순차 적으로 내장된 비아들과 트렌치들을 생성하고, 비아들은 회로의 하나의 층(트렌치들)으로부터 다음 층으로의 수직 접속들을 형성한다. 금속화로서 공지된 시퀀스를 통해 식각된 피처들을 구리로 충전하는 대신에, 유전체 물질들의 비아들과 트렌치들을 식각하는 것은 통상적으로 구리의 식각과 연관된 어려움들을 방지한다.
기술이 발전함에 따라, 일련의 기술적 요구들과 복잡성들에 의해 진보적인 소형화를 가져왔다. 이러한 요구들에 따라, 반사-방지 코팅들로서 공지된 박막 코팅들이 개발되었다. 이용가능한 반사-방지 코팅들은 최상부 및 바닥부 코팅들(TARC 및 BARC)을 포함하며, 이들은 유기 또는 무기 바닥부 반사-방지 코팅들(BARC)을 기초로 하고, 통상적으로 스핀-온 증착을 통해 도포되며, 우수한 충전 및 평탄화 성능을 제공할 수 있지만, 유기 포토레지스트들에 비해 떨어지는 식각 선택비를 가질 수도 있다.
바닥부 반사-방지 코팅(BARC) 및/또는 유기 평탄화 층(OPL)은 이중 다마신 식각인 경우에서처럼, 이전에 식각된 피처들 상부에서 패터닝으로서 기능할 수 있다. 이중층 BARC 방법은 통상적으로 130nm에 대해 사용되고, 통상 비용이 적게 든다.
65nm 이상의 기술 노드, 트렌치 CD 균일성 및 바이어스 제어, 트렌치 프로파일, 트렌치 깊이 균일성, 낮은-K 유전체 집적성, TEOS/낮은-K 유전체(SiCO) 간의 식각 선택비를 위해, 설계 규정이 점점 더 엄격해짐에 따라, BLoK 식각 정지층(SiCN)은 복잡한 BARC-보조 낮은-K 이중 다마신 식각 프로세스들을 개발함에 있어서 중요한 특징이 될 수 있다. 구리/낮은-K 유전체 물질들에 대한 이중 다마신 패터닝 방법과 관련하여, 이중 다마신 식각에 많은 과제들이 제기될 수 있다. M2 및 M3와 같은, 특히 보다 낮은 레벨 금속 라인들에서 넓은 트렌치 CD 불균일성은 보다 넓은 CD 영역들의 금속 라인들 사이의 너무 좁은 간격, 또는 보다 좁은 CD 영역에서 고종횡비 트렌치들의 구리 충전부의 보이드들로 인해, 금속 라인 접속(bridging)을 초래할 수 있다.
또한, 비아로의 적절한 BARC 리세스는 비아 펜스 형성 및 과도한 비아 면노출(faceting)을 방지하기 위해 중요하다. 이는 펜스가 순차적인 구리 전기 도금 프로세스의 시드 커버리지 및 불충분한 구리 배리어로 인해 발생하는 구리 보이드의 기점(nucleus)이 될 수 있고, 과도한 비아 면노출은 낮은-K 산화물 트렌치 식각 동안 BLoK 식각 정지층의 부식을 초래할 수 있기 때문이다. 불균일한 트렌치 깊이 및 낮은-K 손상은 RC 지연을 증가시킬 수 있고, 소자 성능을 손상시킬 수 있다. 마지막으로, 비선택적 BLoK 식각 정지층 개방은 트렌치/비아 CD의 확대(widening)와 TEOS 캡층의 과도한 부식을 초래할 수 있고, 불충분한 구리 연마 스톱을 생성할 수 있으며, 이에 따라 낮은 k 유전체 연마 손상을 초래한다. 하부에 놓이는 구리 라인과 구리 비아 간의 오정렬은 노치(notch)를 유도하여 구리 도금 프로세스 동안 보이드들을 초래할 수 있고, 이는 후속적인 소자 신뢰성 문제들을 야기시킬 수 있다.
전통적으로, 유기 BARC 개방 프로세스는 양호한 CD 및 프로파일 제어의 장점들을 갖기 위해 N2/H2 화학제들을 기반으로 하였다. 그러나, 본 발명자들은 이러한 프로세스에서, 주요한 단점들이 있을 수 있다는 것을 발견했다. N2/H2 BARC 개방 프로세스는 넓은 트렌치 영역에서 보다 무거운 탄화수소 폴리머 형성에 의해 야기되는 심한 리버스 마이크로로딩(reverse microloading) 효과를 받을 수 있다. 이러한 리버스 마이크로로딩 효과는 좁은 트렌치 영역의 비아로의 과도한 BARC 리세스, 또는 넓은 트렌치 영역의 비아로의 불충분한 BARC 리세스를 초래할 수 있다.
따라서, 이중 다마신 집적회로의 형성시 신규한 BARC 식각 프로세스를 개별할 필요가 있다. 또한, 우수한 CD 제어와 직선형 측벽 프로파일을 가진 마이크로로딩-없는 유기 BARC 개방을 달성할 필요가 있다. 더욱이, 낮은-K 이중 다마신 트렌치 프로파일, CD 균일상, 및/또는 트렌치 깊이 제어의 개선들을 제공하기 위해, 전술한 단점들 중 하나 이상을 극복하기 위한 프로세스를 제공할 필요가 있다.
통상의 당업자가 인식하는 것처럼, 이중 다마신 식각들에서 유기 평탄화 층들을 식각할 수 있는 방법들이 필요하다. 그러한 식각 방법들은 낮은 식각률의 바람직하지 않은 특성들을 갖지 않는 것이 바람직하다. 또한, 비용 효율적이고, 높은 선택비를 가지며 적절히 높은 식각률을 갖는 이중 다마신 식각으로 BARC 유기 평탄화 층들을 식각하기 위한 방법들이 필요하다.
몇몇 구현예들에서, 유기 평탄화 층을 마스킹하는 하드마스크 상부에 포토레지스트 마스크 구조물을 포함하는 레지스트 구조물의 유기 평탄화 층에서 트렌치를 식각하기 위한 방법이 플라즈마 반응기에 제공된다. 이는 N2, H2 및 O2를 포함하는 에천트 가스 화학제를 플라즈마 반응기에 유입시키는 단계; 및 상기 에천트 가스 화학제로부터 형성되는 플라즈마를 이용하여 마스킹된 유기 평탄화 층을 식각하는 단계를 포함할 수 있다. 이는 단일 식각 단계로 트렌치를 형성하기 위해 상기 평탄화 층을 통해 식각하는 단계를 포함할 수 있다. 몇몇 구현예에서, 유기 평탄화 물질의 식각 동안 식각률 마이크로로딩을 조절하기 위해 O2의 유입이 사용될 수 있다.
몇몇 구현예들에서, N2: H2: O2의 에천트 가스 화학제는 약 10:10:x의 유량 비(flow ratio)를 가지며, 여기서 x는 약 1 내지 3의 범위내에 있다. 몇몇 구현예들에서, N2: H2: O2의 에천트 가스 화학제는 약 10:10:1의 유량비를 갖는다. 다른 구현예들에서, 유량비는 약 10:10:2이다. 또한, 몇몇 구현예들에서, 바이어스 전력 주파수는 약 13.56 MHz 이하이고, 몇몇 구현예들에서 약 2 MHz의 바이어스 전력이 사용된다. 더욱이, 플라즈마 소스 전력은 약 300와트 내지 약 2000와트일 수 있다. 하나의 구현예에서, 약 10:10:2의 비율에서, 약 1200와트의 플라즈마 소스 전력과 함께 약 800와트에서 약 13.56 MHz의 바이어스 전력 주파수가 사용된다.
도 1A는 낮은 k 유전체층 상부의 비아 패터닝된 포토레지스트 층의 형성을 나타내는 기판의 측단면도를 도시하며, 상기 유전체층은 기판 상의 식각 정지층 상부에 형성되고, 상기 기판은 제 1 트렌치 금속층을 갖는다.
도 1B는 기판의 측단면도를 도시하며, 비아 리소그래피 이후에 포토레지스트 층의 제거를 나타내고, 낮은 k 유전체층에서의 비아 식각을 나타내며, 식각 정지층에서 정지를 나타낸다.
도 1C는 기판의 측단면도를 도시하며, 비아를 완전히 커버하도록 스핀-온되는 SOG 층 및 BARC 유기 평탄화 층의 형성을 나타낸다.
도 1D는 기판의 측단면도를 도시하며, SOG/BARC 유기 평탄화 층 상의 트렌치 패턴 포토레지스트 층의 형성을 나타낸다.
도 1E는 기판의 측단면도를 도시하며, SOG 식각 이후 비아에 BARC 유기 평탄화 층을 리세스하기 위한 BARC 유기 평탄화 층 개방부의 형성을 나타낸다.
도 1F는 기판의 측단면도를 도시하며, 식각 마스크로서 BARC 유기 평탄화 층을 이용하는 트렌치 패턴의 형성을 나타낸다.
도 1G는 기판의 측단면도를 도시하며, 낮은 k 유전체층의 최상부 및 비아 내에서 BARC 유기 평탄화 층의 스트립핑이 인시튜로 애쉬(ash)되는 것을 나타낸다.
도 1H는 기판의 측단면도를 도시하며, 도 1F의 이중 다마신 구조물을 하부에 놓이는 금속층에 접속시킴으로써 식각 정지층 개방 프로세스의 종료를 나타낸다.
도 2는 낮은 k 유전체층과 BARC 유기 평탄화 층(60) 사이의 선택적인 캡층을 갖는 도 1D에 나타낸 기판의 측단면도를 도시한다.
BARC 보조 식각 프로세스를 이용하여 낮은 k 이중 다마신 구조물을 형성하는 하나의 방법에서, 산소를 갖는 N2H2 화학제는 유기 평탄화 물질 또는 OPL의 식각 동 안 식각률 마이크로로딩을 조절하기 위해 사용된다.
이러한 고유한 가스 에천트 혼합물 화학제는 언더컷과 프로파일 테이퍼를 제어할 수 있고, 이에 따라 가스 비율 조절(N2:H2 비율)에 의해 개선된 이중 다마신 구조물들에 대해 널리 사용되는 삼층 레지스트 집적 방법을 위해 OPL 식각의 CD 제어를 제공할 수 있다.
이러한 환원 화학제에 O2의 첨가에 의해 식각률 마이크로로딩을 조절할 수 있다(즉, 넓은 피처들에 대해 작은 상이한 식각률). N2/H2 단독의 가스 혼합물은 보다 넓은 피처들을 보다 느리게 식각할 수 있고, 이는 바람직하지 못하다. 따라서, 몇몇 구현예들에서, O2의 첨가는 넓은 피처들에서 식각률을 증가시킨다. 또 다른 구현예에서, 이러한 프로세스는 스핀-온 낮은-K, 탄소 하드마스크 등과 같은 다른 유기 물질들의 식각을 위해 적용될 수 있다.
도 1A는 낮은 k 유전체 층(40) 상부의 비아 패터닝 포토레지스트 층의 형성을 도시하는 기판의 측단면도로서, 낮은 k 유전체층은 기판(10)상의 식각 정지층(30) 상부에 형성되고, 기판(10)은 제 1 트렌치 금속층(20)을 갖는다.
이제 특히 도 1A를 참조하면, 몇몇 구현예들의 부분적으로 완성된 집적회로 소자의 단면도가 도시된다. 이중 다마신 비아는 예시 목적으로 사용될 것이다. 반도체 기판(10)이 제공된다. 도시를 간략화하기 위해, 반도체 기판(10)은 단일층으로서 도시된다. 반도체 기판(10)의 베이스는 단결정 실리콘을 포함하는 것이 바람직하다. 반도체 기판(10)은 전도층들 및 절연층들과 같은 몇개의 부가적인 임의 의 마이크로전자 층들, 비아와 같은 상호접속 구조물들, 콘택 홀들, 전도성 라인들, 및 게이트 전극과 도핑된 접합부와 같은 소자 구조물들을 부가적으로 포함할 수 있다.
금속층(20)은 기판(10) 상부에 놓이게 형성된다. 바람직하게는, 금속층(20)은 구리 전극들을 포함한다. 또한, 구리 전도체들은 이중 다마신 또는 단일 다마신 상호접속 구조물의 부분일 수 있지만, 이는 도시되어 있지 않다. 구리 전도체들은 통상의 당업자에게 공지된 임의의 방법에 의해 형성될 수 있다. 특히, 예를 들어, 구리층(20)은 물리 기상 증착(PVD), 전기도금, 및 화학 기계 연마(CMP)의 시퀀스에 의해 증착된다. 그 다음, 구리층(20)은 구리 전도체들을 형성하도록 패터닝된다.
식각 정지층(30)은 기판 금속층(20)에 상부에 놓이도록 증착된다. 몇몇 구현예들에서, 이중 다마신 트렌치 식각 방법은 이러한 식각 정지층(30)을 이용하여 상부 레벨, 또는 상호접속부, 트렌치들의 생성을 용이하게 한다. 몇몇 구현예들에서, 식각 정지층(30)은 건식 식각 동안 금속층(20)의 구리 전도체들로부터 상부에 놓인 유전체 물질들로의 구리의 외부 확산 또는 산화를 방지하기 위해 사용될 수도 있다. 식각 정지층(30)은 실리콘 질화물, 실리콘 산질화물, 구리 배리어 낮은 k 막 또는 어플라이드 머티어리얼스 사의 Blok™, 실리콘 탄화물 또는 붕소 질화물을 포함할 수 있다. 식각 정지층(30)은 어플라이드 머티어리얼스 사의 Blok™을 포함하는 것이 바람직하다. 식각 정지층(30)은 약 300Å 내지 1,000Å의 두께로 증착된다.
낮은 k 유전체층(40)은 식각 정지층(30) 상부에 놓이도록 증착된다. 낮은 k 유전체층(40)의 목적은 후속적으로 형성되는 단일 다마신 상호접속부들에 대한 유전체 배리어를 제공하는 것이다. 바람직하게는, 낮은 k 유전상수는 4.0 미만이고, 통상의 당업자에게 공지된 전형적인 방법들에 의해 형성된다. 또한, 낮은 유전상수를 갖는 물질을 사용함으로써, 커패시턴스 부하 및 커플링 문제들이 최소화될 수 있다. 낮은 유전상수 제 1 층(40)은 유기 물질, 탄소-도핑된 이산화 실리콘, 또는 수소-도핑된 이산화 실리콘을 포함하는 것이 바람직하다. 대안적으로, 도핑되지 않은 이산화 실리콘, 또는 불화 이산화 실리콘이 사용될 수 있다. 이러한 설명의 범주내에 속하고 낮은 유전상수 제 1 층(620을 형성하는데 사용될 수 있는 물질 타입들은 이에 제한됨이 없이, 다공성 유기 물질들, 비다공성 유기 물질들, 다공성 염화 유기 물질들, 비다공성 염화 유기 물질들, 다공성 수소-도핑된 이산화 실리콘, 비다공성 수소-도핑된 이산화 실리콘, 다공성 탄소-도핑된 이산화 실리콘, 및 비다공성 탄소-도핑된 이산화 실리콘을 포함한다. 구체적인 물질들은 이에 제한됨이 없이, 폴리(아릴렌(arlene)) 에테르 또는 DOW Chemical Corp.의 SILK™, 불화 아릴에테르 또는 Allied Signal Corp. 또는 Honeywell Corp.의 FLARE™, 비정질 탄화불소 (α-C:F), Dupont Corp.의 폴리테트라플루오로에틸렌 (PTFE™) 또는 Teflon™, 파릴렌(parylene)-F, 파릴렌, 알킬 실세스퀴녹산(silsesquioxane), 아릴 실세스퀴옥산, 폴리(실라젠), 폴리(아릴렌)에테르, 메탄 도핑된 실리카, 또는 어플라이드 머티어리얼스 사의 Black Diamond™, 탄소 도핑된 이산화 실리콘 또는 Novellus Corp.의 Coral™, 불화 폴리(아릴렌) 에테르, 및 수소 실세스퀴옥산을 포함한다. 바람직하게는, 낮은 유전상수 층은 어플라이드 머티어리얼스 사의 Black Diamond™이다. 낮은 유전상수 제 1 층(40)은 스핀 코팅에 의해 증착될 수 있다. 스핀 코팅 이후에 에이징(aging)이 후속되거나, 퍼니스 또는 급속 열 어닐링(RTP) 설비에서 열처리가 후속될 수 있다. 낮은 유전상수 제 1 층(40)은 약 2,000Å 내지 10,000Å의 두께로 증착되는 것이 바람직하다.
중요한 피처로서, 유기 포토레지스트 층(50)은 도 1A에 따른 낮은 k 유전체층(40) 상부에 놓이도록 증착된다. 선택적인 유기 바닥부 반사-방지 코팅(BARC)이 적용될 수도 있다. 포토레지스트 층(50)은 비아 또는 트렌치에 대한 마스크를 형성하도록 패터닝될 것이다. 바람직하게는, 포토레지스트 층은 193nm에서 충분한 흡수 특성들을 갖도록 패터닝 기판 표면에 걸쳐서 동일한 반사율을 제공한다. 포토레지스트 층(50)은 종래기술에서 공통적으로 사용되는 몇가지 감광 화합물들 중 하나를 포함할 수 있다.
도 1B를 참조하면, 비아 리소그래피 이후의 포토레지스트 층의 제거를 도시하고, 낮은 k 유전체층으로의 목표된 비아 식각(110)의 결과들과 식각 정지층에서의 정지를 나타낸다.
도 1D에 도시된 포토레지스트 층(50)은 마스크를 통해 노광되는 전형적인 포토리소그래픽 프로세스를 이용하여 패터닝될 수 있다. 현상 프로세스는 목표된 포토레지스트 층(50)을 제거하여, 낮은 k 유전체층(40) 또는 트렌치들이 예정된 선택적인 BARC 층을 노출시킨다.
전형적으로, 포토레지스트 층들은 산소 애쉬들 또는 용매들을 이용한 이후의 세정과 같은, 통상의 당업자에게 공지된 방법들에 따라 스트립핑될 수 있다.
도 1C를 참조하면, 하나의 구현예에서, 방법은 비아를 완전히 커버하기 위해 스핀-온되는 SOG 층 및 BARC 유기 평탄화 층의 형성을 도시한다. 일 실시예에서, 하드 마스크층(70)은 통상의 당업자에게 공지된 임의의 방법에 의해 BARC 유기 평탄화 층 상부에 형성된다. 전형적으로, 하드 마스크는 LTO, 플라즈마 강화 기상 증착 산화물 도는 PECVD 산화물, BSG 또는 붕소 도핑된 스핀 온 글래스, 다른 산화물 하드 마스크, 실리콘 질화물, 또는 다른 하드 마스크일 수 있다.
도 1D와 관련하여, 하나의 구현예에서, SOG/BARC 유기 평탄화 층 상의 트렌치 패턴 포토레지스트 층(50)의 형성이 도시된다. 또한, 포토레지스트층(50)은 이전에 언급되고 통상의 당업자에게 공지된 방법들로 형성될 수 있고, 트렌치 패턴은 식각된다.
도 1E를 참조하면, 하나의 구현예에서, SOG 식각 이후 비아로 BARC 유기 평탄화 층을 리세스하기 위한 BARC 유기 평탄화 층 개방부의 형성을 도시한다. N2:H2/O2를 포함하는 에천트 가스 혼합물은 이러한 단계 동안 유기 평탄화 BARC 층(60)을 식각하는데 사용된다.
도 1E를 참조하면, 하나의 구현예에서, BARC 유기 평탄화 층(60)의 식각은 N2:H2/O2를 포함한다. N2:H2:O2의 비율은 BARC 유기 평탄화 층(60)에 대한 높은 선택비와 목표된 식각률을 제공하도록 선택된다. BARC 평탄화 층(60)은 포토레지스트와 유사한 함량을 갖는다.
하나의 구현예에서, 삼층 레지스트 집적 방법에 의해 낮은 k 이중 다마신 식각의 전통적인 OPL 식각 프로세스는 N2/H2 기반으로 하며, 여기서 H2는 OPL 측벽 패시베이션의 소스이고, N2는 식각 라디칼의 소스이다. N2/H2 비율의 조정은 N2 및 H2의 최적 조합을 제공하여 최상의 CD 및 프로파일 제어를 달성한다. 몇몇 구현예들에서, 1:1의 N2/H2 비율에 의해 우수한 프로파일이 달성되었다. H2 유동 보다 더 낮은 N2 유동에 의해, 과도한 측벽 패시베이션이 테이퍼형 OPL 프로파일에 발생하였고 바닥부 CD를 감소시켰다. 몇몇 구현예들에서, H2 유동 보다 더 높은 N2 유동에 의해, OPL의 최상부에서 풀-백(pull-back)이 발생하였고, 불충분한 패시베이션을 나타낸다. 몇몇 구현예들에서, 양호한 CD 및 프로파일을 위해 약 10 mTorr 내지 약 200 mTorr 범위의 낮은 압력이 필요하다. 낮은 압력에서 1:1의 N2/H2 프로세스가 양호한 CD 제어를 갖는 수직 OPL 프로파일을 달성하지만, 심각한 리버스 마이크로로딩이 관찰될 수 있다. 보다 무거운 탄화수소 폴리머가 형성될 수 있고 OPL 식각률을 감소시킬 수 있다.
몇몇 구현예들에서, 1:2의 N2/H2 비율이 사용될 수 있지만, 과도한 OPL 측벽 패시베이션 및 네거티브 CD 바이어스를 초래할 수 있다. 또한, 2:1의 N2/H2 비율이 사용될 수 있지만, 몇몇 구현예들에서 불충분한 OPL 측벽 패시베이션이 관찰될 수 있다.
전형적인 N2/H2 OPL 식각 프로세스에서 리버스 마이크로로딩은 보다 빠른 식각률을 초래할 수 있고, 보다 무거운 탄화수소 폴리머 형성으로 인한 넓은 트렌치 영역에서 보다 느린 식각률들과 보다 작은 OPL 플러그 리세스와 비교하여, 보다 가벼운 탄화수소 폴리머 형성으로 인해 좁은 트렌치 영역들에서 보다 넓은 OPL 플러그 리세스와 보다 빠른 식각률을 달성할 수 있다.
따라서, OPL 부분에 대한 임의의 식각률 마이크로로딩은 전체 성능에 영향을 줄 수 있고, 예를 들어 전체 CD 제어, 및 허용되는 OPL 플러그 리세스의 윈도우에 의해 규정되는 코너 라운딩 성능에 대한 펜싱(fencing)에 영향을 줄 수 있다.
다양한 구현예들에서, 가스 에천트 혼합물에 O2의 첨가는 넓은 트렌치들에서 유기 평탄화 층(60)의 탄화수소 폴리머를 식각하도록 돕기 때문에, 작은 트렌치들 보다 넓은 트렌치들에서 식각률을 증가시킨다.
충분한 양의 O2는 넓은 트렌치들의 식각률을 작은 트렌치들의 식각률과 매칭시키고, 즉 마이크로로딩을 제로 또는 거의 제로로 감소시킨다. 10:10:1의 N2/H2/O2 가스 유량비는 낮은 주파수 바이어스 전력, 예를 들어 2 MHz의 사용에 의해 넓은 이온 에너지 분포로 결합되는 경우 현저한 개선을 나타내며, 넓은 트렌치들에서 탄화수소 폴리머를 균열(crack)시키기 위한 보다 높은 스퍼터 컴포넌트를 제공한다. 몇몇 구현예들에서, 동일한 가스 유량비는 예를 들어 13.56 MHz와 같은 보다 높은 주파수 바이어스로 작동될 때 보다 높은 마이크로로딩을 초래하고, 이는 보다 높은 바이어스 주파수들에 의해 제공되는 바와 같은 보다 낮은 평균 이온 에 너지에서 좁은 이온 에너지 밴드로 설명될 수 있다. 따라서, 스퍼터링 컴포넌트가 감소되고 기술된 탄화수소 폴리머 형성으로 인해 넓은 트렌치들에서 보다 느린 식각률을 초래할 것이다.
10:10:1의 가스 유량비를 갖는 N2/H2/O2 OPL 식각 프로세스에 대한 마이크로로딩 감소는 좁은 트렌치에 비해 넓은 트렌치에서 다소 더 느린 식각률을 초래하고, OPL 스타팅 두께의 편차로 인해 OPL 플러그 리세스 차이가 보다 두드러진다. 하나의 구현예에서, 10:10:1의 가스 유량비와 13 MHz 바이어스를 갖는 N2/H2/O2 OPL 식각 프로세스에 대한 마이크로로딩은 N2/H2 프로세스에 비해 마이크로로딩 감소를 초래하지만, 2 MHz 바이어스를 갖는 N2/H2/O2 OPL 식각에 비해 마이크로로딩 증가를 초래한다.
또한, 증가된 O2 유동(10:10:2의 N2/H2/O2 비율)은 넓은 트렌치들에서 무거운 탄화수소 폴리머 형성을 감소시키려고 할 때 산소의 역할을 강조하는 보다 높은 바이어스 주파수 프로세스에 대해서도, 매우 낮은 식각률 마이크로로딩을 초래한다.
RF 전력 레벨들(162 MHz 소스 및 2 - 13.56 MHz 바이어스)은 식각률과 프로파일을 제어하고; 소스 전력은 N2, H2 및 O2의 해리(dissociation) 및 식각률과 측별 패시베이션을 제어하며; 바이어스 전력은 전체 이온 에너지 레벨 및 식각률을 제어하고; 바이어스 주파수들의 전력 비율은 이온 에너지 분포 및 식각률 마이크로로딩을 제어한다.
소스 전력은 약 300와트 내지 약 2000와트 범위내에 있을 수 있다. 바이어스 전력은 약 1 내지 약 13.56 MHz 범위의 바이어스 전력 주파수들에서 약 100와트 내지 약 1500와트 범위내에 있을 수 있다. 하나의 구현예에서, 바이어스 전력 주파수는 약 13.56 MHz이고, 바이어스 전력은 약 800와트이며, 소스 전력은 1200와트이고, 10:10:2의 N2/H2/O2 비율을 갖는다.
도 1F를 참조하면, 하나의 구현예에서, 식각 마스크로서 BARC 유기 평탄화 층을 이용하여 트렌치 패턴을 형성하는 것이 도시된다. 하드 마스크 층(70), BARC 유기 평탄화 층(60), 및 선택적인 캡층(80)(도 2)과 낮은 k 유전체 층(70)은 상호접속 트렌치를 형성하도록 식각된다. 이러한 식각은 전형적으로 통상의 당업자에게 공지된 방법들을 이용하여 수행된다.
도 1G를 참조하면, 하나의 구현예에서, 낮은 k 유전체층의 최상부 및 비아 내에 BARC 유기 평탄화 층의 스트립핑은 인시튜로 애쉬된다. 바람직하게는, 단계는 식각 정지층(30)에서 정지된다. 여기서, 선택적인 식각 정지층(30)의 사용은 이중 다마신 구조물에서 비아 레벨들 및 분리 상호접속부의 보다 용이한 형성을 촉진시킨다.
도 1H를 참조하면, 하나의 구현예에서, 도 1F의 이중 다마신 구조물을 하부에 놓인 금속층에 접속시킴으로써 식각 정지층(30) 개방 프로세스의 종료를 나타낸다. 다른 구현예에서, 최적화된 낮은 k 산화물 이중 다마신 트렌치 식각, 및 혁신적인 SiCN 식각이 65nm 이상의 기술 노드에 대해 개발되었다. 이러한 새로운 식각 프로세스는 2.9%의 우수한 CD 균일도와 5nm 미만의 CD 바이어스, 4%의 기밀한 M2 스네이크(snake) 저항 분포를 가능하게 한다.
도 2를 참조하면, 하나의 구현예에서, 도 1D는 낮은 k 유전체층(40)과 BARC 유기 평탄화 층(60) 사이에 선택적인 캡층을 갖는 것으로 도시된다. 캡층(80)은 바람직하게는 TEOS, 실리콘 질화물, 실리콘 산질화물, 또는 실리콘 탄화물을 포함하고, 통상의 당업자에게 공지된 방법에 의해 약 500Å 내지 5,000Å의 두께로 증착된다. 또한, 선택적인 BARC 층(55)은 포토레지스트(50) 아래에 위치될 수 있다.
본 발명은 특히 그 바람직한 실시예들을 참조로 도시되고 기술되었지만, 그 형태와 세부사항들의 다양한 변화들이 본 발명의 사상과 범주를 벗어남이 없이 이루어질 수 있다는 것은 통상의 당업자에 의해 이해될 것이다. 동시에, 동일한 방법들이 다른 많은 유사한 프로세스 단계들에 사용될 수 있다는 것은 명백하다. 예를 들어, 본 발명의 방법은 본 발명의 사상과 범주를 벗어남이 없이 삼중(triple)-레벨 또는 보다 더 큰 다마신 구조물들의 형성에 확장 및 적용될 수 있다.
더욱이, 본 발명에 도시된 이중 다마신 구조물은 비아가 우선적으로 형성된다. 또한, 구조물은 본 발명의 사상과 범주를 벗어남이 없이, 트렌치가 우선적으로 형성될 수 있거나, "자체-정렬된 비아" 기술을 이용하거나 종래기술의 다른 공지된 기술을 이용하여 형성될 수 있다.

Claims (15)

  1. 유기 평탄화 층을 마스킹하는 하드마스크 상부에 포토레지스트 마스크 구조물을 포함하는 레지스트 구조물의 유기 평탄화 층을 플라즈마 반응기에서 식각하는 방법으로서,
    N2, H2 및 O2를 포함하는 에천트 가스 화학제를 상기 플라즈마 반응기로 유입시키는 단계; 및
    상기 에천트 가스 화학제로부터 형성된 플라즈마를 이용하여 상기 마스킹된 유기 평탄화 층을 식각하는 단계
    를 포함하는 유기 평탄화 층 식각 방법.
  2. 제 1 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 상기 유기 평탄화 층에 트렌치를 형성하기 위해 상기 평탄화 층을 통하여 식각하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  3. 제 2 항에 있어서,
    상기 트렌치를 형성하기 위해 상기 평탄화 층을 통하여 식각하는 단계는 단일 식각 단계에 의해 상기 평탄화 층을 통하여 식각하는 단계를 포함하는 것을 특 징으로 하는 유기 평탄화 층 식각 방법.
  4. 제 2 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 실질적으로 동일한 식각률로 상이한 단면적들의 트렌치들을 식각하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  5. 제 1 항에 있어서,
    상기 에천트 가스 화학제를 유입시키는 단계는 상기 유기 평탄화 물질의 식각 동안 식각률 마이크로로딩을 조절하기 위해, 상기 O2를 유입시키는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  6. 제 1 항에 있어서,
    상기 유입시키는 단계는 약 10:10:x의 유량비로 N2:H2:O2의 상기 에천트 가스 화학제를 각각 유입시키는 단계를 포함하고, 여기서 x는 약 1 내지 약 3의 범위내에 있는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  7. 제 6 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 (a) 약 13.56 MHz 또는 (b) 약 13.56 MHz 미만 중 하나의 바이어스 전력 주파수를 이용하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  8. 제 7 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 약 100와트 내지 약 1500와트의 바이어스 전력을 이용하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  9. 제 8 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 약 300와트 내지 약 2000와트의 플라즈마 소스 전력을 이용하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  10. 제 1 항에 있어서,
    상기 유입시키는 단계는 약 10:10:1의 유량비로 N2:H2:O2의 상기 에천트 가스 화학제를 각각 유입시키는 단계를 포함하고, 상기 유기 평탄화 층을 식각하는 단계는 약 2 MHz의 바이어스 전력 주파수를 이용하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  11. 제 1 항에 있어서,
    상기 유입시키는 단계는 약 10:10:2 미만의 유량비로 N2:H2:O2의 상기 에천트 가스 화학제를 각각 유입시키는 단계를 포함하고, 상기 식각하는 단계는 약 13.56 MHz의 바이어스 전력 주파수, 약 800와트의 바이어스 전력, 및 약 1200와트의 플라즈마 소스 전력으로 식각하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  12. 제 1 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 약 2 MHz의 바이어스 전력을 이용하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  13. 제 1 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 약 13.56 MHz 미만의 바이어스 전력을 이용하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  14. 제 1 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 상기 유기 평탄화 층을 식각하면서 상기 포토레지스트 마스크를 식각하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
  15. 제 1 항에 있어서,
    상기 유기 평탄화 층을 식각하는 단계는 유기 BARC를 식각하는 단계를 포함하는 것을 특징으로 하는 유기 평탄화 층 식각 방법.
KR1020087025159A 2006-03-20 2007-03-20 낮은 k 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 barc 식각 프로세스 KR101046862B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/385,256 US7828987B2 (en) 2006-03-20 2006-03-20 Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US11/385,256 2006-03-20
PCT/US2007/007010 WO2007123616A2 (en) 2006-03-20 2007-03-20 Organic barc etch process capable of use in the formation of low k dual damascene integrated circuits

Publications (2)

Publication Number Publication Date
KR20080109849A true KR20080109849A (ko) 2008-12-17
KR101046862B1 KR101046862B1 (ko) 2011-07-06

Family

ID=38518439

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087025159A KR101046862B1 (ko) 2006-03-20 2007-03-20 낮은 k 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 barc 식각 프로세스

Country Status (6)

Country Link
US (1) US7828987B2 (ko)
EP (1) EP2002467A2 (ko)
JP (1) JP2009530863A (ko)
KR (1) KR101046862B1 (ko)
CN (1) CN101449363B (ko)
WO (1) WO2007123616A2 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9196270B1 (en) 2006-12-07 2015-11-24 Western Digital (Fremont), Llc Method for providing a magnetoresistive element having small critical dimensions
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US8003488B2 (en) * 2007-09-26 2011-08-23 International Business Machines Corporation Shallow trench isolation structure compatible with SOI embedded DRAM
US8815745B2 (en) * 2008-02-01 2014-08-26 Lam Research Corporation Reducing damage to low-K materials during photoresist stripping
DE102008016424B4 (de) * 2008-03-31 2011-06-01 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
US8316527B2 (en) 2008-04-01 2012-11-27 Western Digital (Fremont), Llc Method for providing at least one magnetoresistive device
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8349195B1 (en) 2008-06-27 2013-01-08 Western Digital (Fremont), Llc Method and system for providing a magnetoresistive structure using undercut free mask
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103165576B (zh) * 2011-12-13 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
CN102891103B (zh) * 2012-09-17 2015-01-21 上海华力微电子有限公司 一种制备顶层金属互联工艺刻蚀中间停止层的方法
JP2014165191A (ja) * 2013-02-21 2014-09-08 Seiko Instruments Inc 紫外線消去型の不揮発性半導体装置
US9400529B2 (en) 2013-09-27 2016-07-26 Apple Inc. Electronic device having housing with embedded interconnects
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9385086B2 (en) * 2013-12-10 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer hard mask for robust metallization profile
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
US9454177B2 (en) 2014-02-14 2016-09-27 Apple Inc. Electronic devices with housing-based interconnects and coupling structures
CN105097493B (zh) * 2014-04-24 2020-09-08 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104900510B (zh) * 2015-06-29 2018-01-26 上海华力微电子有限公司 刻蚀映射关系模型和控制浅槽隔离刻蚀关键尺寸的方法
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
CN111627855B (zh) * 2019-06-27 2021-05-25 长江存储科技有限责任公司 互连结构及其形成方法
CN113053805B (zh) * 2021-03-11 2022-06-10 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
US20230207380A1 (en) * 2021-12-23 2023-06-29 Nanya Technology Corporation Method of manufacturing semiconductor device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338398A (en) 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5492597A (en) 1994-05-13 1996-02-20 Micron Semiconductor, Inc. Method of etching WSix films
EP0932190A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method of plasma etching the tungsten silicide layer in the gate conductor stack formation
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6407004B1 (en) 1999-05-12 2002-06-18 Matsushita Electric Industrial Co., Ltd. Thin film device and method for manufacturing thin film device
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP3974319B2 (ja) 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
TW479322B (en) 2000-09-25 2002-03-11 United Microelectronics Corp Manufacturing method of local inter connect contact opening
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch
US6875699B1 (en) 2001-06-21 2005-04-05 Lam Research Corporation Method for patterning multilevel interconnects
US6495469B1 (en) 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
KR100464430B1 (ko) 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6774031B2 (en) 2002-12-17 2004-08-10 Texas Instruments Incorporated Method of forming dual-damascene structure
AU2003297861A1 (en) * 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭

Also Published As

Publication number Publication date
WO2007123616A2 (en) 2007-11-01
KR101046862B1 (ko) 2011-07-06
WO2007123616A3 (en) 2008-08-28
JP2009530863A (ja) 2009-08-27
CN101449363A (zh) 2009-06-03
US7828987B2 (en) 2010-11-09
US20070218679A1 (en) 2007-09-20
EP2002467A2 (en) 2008-12-17
CN101449363B (zh) 2010-12-01

Similar Documents

Publication Publication Date Title
KR101046862B1 (ko) 낮은 k 이중 다마신 집적회로들의 형성에 사용할 수 있는 유기 barc 식각 프로세스
US7030031B2 (en) Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US6358842B1 (en) Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US7125792B2 (en) Dual damascene structure and method
US7601607B2 (en) Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
US7790601B1 (en) Forming interconnects with air gaps
US7759244B2 (en) Method for fabricating an inductor structure or a dual damascene structure
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
US7253112B2 (en) Dual damascene process
US7091612B2 (en) Dual damascene structure and method
US6413438B1 (en) Method of forming via hole by dry etching
KR20040101008A (ko) 반도체 장치의 제조 방법
JP2005005697A (ja) 半導体装置の製造方法
KR100909175B1 (ko) 듀얼 다마신 패턴 형성 방법
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
KR101138075B1 (ko) 이중 다마신 패턴 형성 방법
KR101024871B1 (ko) 듀얼 다마신 패턴 형성 방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR100367852B1 (ko) 포토레지스트에 대해 고선택비를 갖는 에칭 방법
KR100460745B1 (ko) 듀얼 다마신 패턴 형성 방법
KR101152261B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR101077021B1 (ko) 반도체 소자의 금속배선 형성방법
KR100996161B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR20070064965A (ko) 반도체 소자의 미세 패턴 형성 방법
KR20050064463A (ko) 반도체 미세패턴 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee