CN101413113B - 立式等离子体处理装置及其使用方法 - Google Patents

立式等离子体处理装置及其使用方法 Download PDF

Info

Publication number
CN101413113B
CN101413113B CN2008101769928A CN200810176992A CN101413113B CN 101413113 B CN101413113 B CN 101413113B CN 2008101769928 A CN2008101769928 A CN 2008101769928A CN 200810176992 A CN200810176992 A CN 200810176992A CN 101413113 B CN101413113 B CN 101413113B
Authority
CN
China
Prior art keywords
gas
film forming
plasma
processing
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008101769928A
Other languages
English (en)
Other versions
CN101413113A (zh
Inventor
野寺伸武
佐藤润
松永正信
长谷部一秀
井上久司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101413113A publication Critical patent/CN101413113A/zh
Application granted granted Critical
Publication of CN101413113B publication Critical patent/CN101413113B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种对多个被处理基板一起进行等离子体处理的半导体处理用的立式等离子体处理装置包括使处理气体的至少一部分等离子体化的激励机构。激励机构包括夹着等离子体发生区域相对地配置在等离子体生成箱上的第一和第二电极;和向所述第一和第二电极供给等离子体发生用的高频电力的高频电源,该高频电源包括第一和第二输出端子,第一和第二输出端子分别是接地和非接地端子。还配设切换机构,切换第一电极和第一输出端子连接并且第二电极和第二输出端子连接的第一状态以及第一电极和第二输出端子连接并且第二电极和第一输出端子连接的第二状态。

Description

立式等离子体处理装置及其使用方法
技术领域
本发明涉及对半导体晶片等多个被处理基板一起进行等离子体处理的半导体处理用的立式等离子体处理装置及其使用方法。这里,半导体处理指的是通过在晶片和LCD(液晶显示器)等的FPD(平板显示器)用的玻璃基板等的被处理基板上以预定图形形成半导体层、绝缘层、导电层等,用于在该被处理基板上制造半导体器件、以及包含与半导体器件连接的布线、电极等的结构进行的各种处理。
背景技术
在构成半导体集成电路的半导体器件的制造中,在被处理基板、例如半导体晶片上,进行成膜、刻蚀、氧化、扩散、改性、退火、自然氧化膜的除去等的各种处理。美国US2006/0286817A1公开有在立式(即批量式)热处理装置中的这种半导体处理方法。在这种方法中,首先,将半导体晶片从晶片盒移载到立式晶片舟上,并多段地保持半导体晶片。在晶片盒中,例如可以收纳25片晶片,在晶片舟上可以承载30~150片晶片。然后,将晶片舟从处理容器的下方装载到其内部,同时使处理容器气密密封。接着,在控制处理气体的流量、处理温度等的各种处理条件的状态下,进行预定热处理。
为了提高半导体集成电路的特性,提高半导体器件的绝缘膜的特性是非常重要的。作为半导体器件中的绝缘膜,使用SiO2、PSG(phosphosilicate glass(磷硅酸盐玻璃))、P(利用等离子体CVD形成的)—SiO、P(利用等离子体CVD形成的)—SiN、SOG(旋涂玻璃)、Si3N4(氮化硅)等。特别地,大多数采用氮化硅膜的原因在于,与氧化硅膜相比,氮化硅膜具有良好的、以及作为蚀刻停止膜或层间绝缘膜非常优异的功能。此外,相同理由,也可以采用掺硼的碳化硅膜。
作为在半导体晶片的表面上形成上述氮化硅膜的方法,已知采用作为硅源气体的单硅烷(SiH4)、二氯硅烷(DCS:SiH2Cl2)、六氯乙硅烷(HCD:Si2Cl6)、双叔丁基氨基硅烷(ビスタシヤルプチルアミノシラン)(BTBAS:SiH2(NH(C4H9))2)、(t-C4H9NH)2SiH2等的硅烷系气体,通过热CVD(化学气相沉积)进行成膜的方法。例如,采用SiH2Cl2+NH3(参照美国US5874368A)或Si2Cl6+NH3等的气体的组合通过热CVD形成氮化硅膜。此外,还提供一种向氮化硅膜中添加用于减小介电常数的杂质例如硼(B)的方法。
近年来,伴随着半导体集成电路的更高集成化和高微细化的要求,希望减轻半导体器件的制造工序中的热过程,提高器件的特性。即使在立式处理装置中,也希望根据这种要求改良半导体处理方法。例如,在作为成膜处理的一种的CVD(化学气相沉积)中,已知间歇地供给原料气体等,同时重复形成原子或分子级别厚的一层或多层膜的方法(例如参考日本特开平2-93071号、日本特开平6-45256号公报、美国US6165916A)。这种成膜处理一般称为ALD(原子层沉积)或MLD(分子层沉积),通过这种处理,就能够进行目的在于对晶片不暴露于这些高温过程的处理。
作为实施上述成膜处理的成膜装置,提供一种使用等离子体的立式成膜装置(例如日本特开2006-287194号公报)。在这种成膜装置中,设置沿着立式处理容器的侧部由纵长盖体区分的气体激励部。在这个盖体的外侧设置用于施加高频电力的一对电极。在气体激励部内设置供给作为等离子体化的气体例如NH3气体的分散喷嘴。
例如,在使用作为硅烷系气体的二氯硅烷(DCS)和作为氮化气体的NH3形成氮化硅膜(SiN)的情况下,进行以下的处理。即,在处理容器内,隔着吹扫期间交互地供给DCS和NH3气体。通过在供给NH3气体时施加RF(高频),促进在处理容器内生成等离子体的氮化反应。这里,首先,通过向处理容器内供给DCS,在晶片表面上以分子级别吸附一层或多层DCS。在吹扫期间排除多余的DCS。然后,通过供给NH3生成等离子体,通过在低温下的氮化形成氮化硅膜。重复进行这种一系列的工序,完成预定厚度的膜。
但是,如后面所述,本发明人等发现在现有的这种成膜装置中,在关于产量和产生粒子方面的装置特性上还存在改善的余地。
发明内容
本发明的目的是提供一种可以提高关于产量和产生粒子方面的装置特性的半导体处理用的立式等离子体处理装置及其使用方法。
本发明的第一方案是一种对多个被处理基板一起进行等离子体处理的半导体处理用立式等离子体处理装置,包括:具有收纳所述被处理基板的处理区域并且可以设定为气密状态的纵长的处理容器;在所述处理容器内按照互相间隔开并在垂直方向重叠的状态保持多个被处理基板的保持部件;向所述处理容器内供给处理气体的气体供给系统;对所述处理容器内进行排气的排气系统;和对所述处理气体的至少一部分进行等离子体化的激励机构,所述激励机构包括:对应所述处理区域安装在所述处理容器内并且形成与所述处理区域气密连通的等离子体发生区域的等离子体生成箱;夹着所述等离子体发生区域相对地配置在所述等离子体生成箱上的第一和第二电极;向所述第一和第二电极供给等离子体发生用的高频电力的高频电源,所述高频电源包括第一和第二输出端子,所述第一和第二输出端子分别是接地和非接地端子;与所述第一和第二电极以及第一和第二输出端子连接的第一和所述第二供电线;和切换机构,用于切换所述第一电极和所述第一输出端子连接并且所述第二电极和所述第二输出端子连接的第一状态以及所述第一电极和所述第二输出端子连接并且所述第二电极和所述第一输出端子连接的第二状态。
本发明的第二方案是一种对多个被处理基板一起进行等离子体处理的半导体处理用的立式等离子体处理装置的使用方法,所述装置包括:具有收纳所述被处理基板的处理区域并且可以设定为气密状态的纵长的处理容器;在所述处理容器内按照互相间隔开并在垂直方向重叠的状态保持多个被处理基板的保持部件;向所述处理容器内供给处理气体的气体供给系统;对所述处理容器内进行排气的排气系统;和对所述处理气体的至少一部分进行等离子体化的激励机构,所述激励机构包括:对应所述处理区域安装在所述处理容器内并且形成与所述处理区域气密连通的等离子体发生区域的等离子体生成箱;夹着所述等离子体发生区域相对地配置在所述等离子体生成箱上的第一和第二电极;向所述第一和第二电极供给等离子体发生用的高频电力的高频电源,所述高频电源包括第一和第二输出端子,所述第一和第二输出端子分别是接地和非接地端子;和与所述第一和第二电极以及第一和第二输出端子连接的第一和所述第二供电线,所述方法包括:在向所述处理区域供给所述处理气体的同时通过所述激励机构对所述处理气体的至少一部分进行等离子体化,同时在所述处理区域内对所述被处理基板进行半导体处理的工序;和作为用于使所述处理气体的至少一部分等离子体化的所述激励机构的状态,切换使用所述第一电极和所述第一输出端子连接并且所述第二电极和所述第二输出端子连接的第一状态以及与所述第一电极和所述第二输出端子连接并且所述第二电极和所述第一输出端子连接的第二状态的工序。
附图说明
图1是表示根据本发明实施方式的成膜装置(立式CVD装置)的剖面图。
图2是表示图1中所示装置的一部分的横断平面图。
图3是表示在图1所示装置中,向电极供给高频电力的高频电路的一个例子的电路图。
图4是表示在根据本发明实施方式的成膜处理中,气体供给和RF(高频)施加的状态的时序图。
图5是表示在不进行对电极切换热侧(非接地状态)和地侧(接地状态)的比较例(现有的使用方法)中,批量处理数和粒子数以及累积膜厚的关系的曲线。
图6是表示在对电极进行热侧和接地侧的切换的实施例(根据本发明实施方式的使用方法)中,批量处理数和粒子数以及累积膜厚的关系的曲线。
图7是表示刻蚀量的气体种类相对于气体激励部的石英制的盖体的相关性的曲线。
具体实施方式
本发明人等在本发明的开发过程中研究了在半导体处理用的立式等离子体处理装置中,现有的装置及其使用方法存在的问题。结果是,本发明人等得到如下所述的见识。
即,在这种成膜装置中,产生等离子体的气体激励部例如由石英(SiO2)制的盖体区分。因此,通过等离子体利用活性化的离子溅射SiO2制的盖体内面并消减,或消减的SiO2粒子再次附着在其内部。此外,这种再次附着的SiO2粒子被活性化并通过NH3被进行氮化等,在盖体内表面附着各种物质,例如SiO2和SiON等构成的副生成物膜。这种气体激励部内的附着物称为产生颗粒的原因。
从这个观点出发,由气体激励部产生颗粒之前,为了除去不需要的附着物,对反应管和气体激励部进行清洁处理。这种清洁处理是在被处理基板上的制品膜的累积膜厚达到预定值时进行,或者定期或不定期地进行。但是,若这种清洁处理的频率太多,则不得不增加装置的停机时间(处理的生产率下降)。
下面,参照附图,说明基于这种见识构成的本发明的实施方式。而且,在下面的说明中,具有大致相同功能和构成的结构元素用相同的符号表示,并且只在必要的场合进行重复说明。
图1是表示根据本发明实施方式的成膜装置(立式CVD装置)的剖面图。图2是表示图1所示装置的一部分的横断平面图。图3是表示图1所示装置中向电极施加高频电力的高频电路的一个例子的电路图。这种成膜装置2包括可选择地供给包含作为硅烷系气体的二氯硅烷(DCS)气体的第一处理气体、和包含作为氮化气体的氨(NH3)气体的第二处理气体的处理区域。成膜装置2构成为在这种处理区域内,在被处理基板上形成氮化硅膜。
成膜装置2具有在下端开口并有顶部的圆筒体状的处理容器4,该处理容器4在内部规定出处理区域5,该处理区域5收纳并处理按照一定间隔重叠起来的多片半导体晶片(被处理基板)。处理容器4的整体例如由石英形成。在处理容器4内的顶部上配设有顶板6并密封。处理容器4的下端开口通过O形环等的密封部件10与成形为圆筒体状的总管8连结。此外,由于总管8不按其它用途来进行设置,因而也可以由圆筒体状的石英制的处理容器构成整体。
总管8例如由不锈钢制成,支持处理容器4的下端。通过总管8的下端开口,石英制的晶片舟12升降,由此相对于处理容器4装载/卸载晶片舟12。在晶片舟12上多段地承载作为被处理基板的多片半导体晶片W。例如,在本实施方式的情况下,在晶片舟12的支柱12A上按照大致相等间隔地可以多段地支撑例如50~100片左右的直径为300nm的晶片W。
晶片舟12通过石英制的保温筒14承载在工作台16上。工作台16被支撑在旋转轴20上,旋转轴20贯通使总管8的下端开口开闭的、例如不锈钢制的盖体18。
在旋转轴20的贯通部上通过设置例如磁性流体密封件22,可以气密(气体密封)地密封地旋转并支撑旋转轴20。在盖体18的周边部和总管8的下端部上通过设置例如由O形环等构成的密封部件24而保持容器内的密封性。
旋转轴20安装在被支持在例如晶片舟升降机等的升降机构25上的臂部26的前端上。通过升降机构25使晶片舟12和盖体18等一体地升降。此外,工作台16固定设置在盖体18一侧,可以不旋转晶片舟12而对晶片W进行处理。
在总管8的侧部上连接有用于向处理容器4内的处理区域5供给预定处理气体的气体供给部。气体供给部包含第二气体供给系统28、第一处理气体供给系统30以及吹扫气体供给系统36。第一处理气体供给系统30供给包含作为硅烷系气体的DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统28供给包含作为氮化气体的氨气(NH3)的第二处理气体。吹扫气体供给系统36供给作为吹扫气体的惰性气体、例如N2气体。尽管可以根据需要在第一和第二处理气体中混合适量的载体气体,但在下面为了容易说明,没有提及这种载体气体。
具体地说,第二和第一处理气体供给系统28、30具有一组由在内侧贯通总管8的侧壁的向上方向弯曲延伸的石英管制成的气体分散喷嘴38、40(参照图1)。在各气体分散喷嘴38、40上,沿着其长度方向(上下方向)并且贯穿晶片舟12上的晶片W的全体、按照隔开预定间隔的方式形成多个气体喷射孔38A、40A。气体喷射孔38A、40A按照相对于晶片舟12上的多片晶片W形成平行气体流的方式,分别供给在水平方向上大致均匀的、对应的处理气体。另一方面,吹扫气体供给系统36具有贯通总管8的侧壁设置的短的气体喷嘴46。
喷嘴38、40、46通过气体供给管道(气体通路)48、50、56分别与NH3气体、DCS气体以及N2气体的气体源28S、30S、36S连接。气体供给管道48、50、56上配设开关阀48A、50A、56A以及像质流控制器之类的流量控制器48B、50B、56B。由此,可以分别进行NH3气体、DCS气体以及N2气体的流量控制和供给。
在处理容器4的侧壁的一部分上沿着其高度方向设置有气体激励部66。在相对于气体激励部66的处理容器4的相反侧设置有细长的排气口68,该排气口68用于对处理容器的内部气氛进行真空排气,并且是通过例如在上下方向上削去处理容器4的侧壁而形成的。
具体地说,气体激励部66具有通过沿着上下方向削去处理容器4的侧壁而形成的上下细长的开口。这个开口通过具有作为气体流路的纵长的狭缝70的隔板71闭锁,同时用与处理容器4的外壁气密接合的石英制盖体(等离子体生成箱)72覆盖。盖体72具有从处理容器4的外侧突出的截面凹部状,且具有上下细长的形状。
通过这种结构,形成从处理容器4的侧壁突出且一侧向处理容器4内开口的气体激励部66。即,气体激励部66的内部空间通过狭缝70与处理容器4内的处理区域5连通。狭缝70按照使在晶片舟12上保持的所有晶片W处于高度方向上的方式在上下方向上形成得充分长。
在盖体72的两侧壁的外侧面上沿着其长度方向(上下方向)设置有互相相对的细长的一对电极74、75。电极74、75通过供电线78、80与等离子体发生用的高频电源76的第一和第二输出端子76a、76b连接,由此构成图2和图3所示的高频电路73。通过从高频电源76向电极74、75施加例如13.56MHz的高频电压,在一对电极74、75之间形成用于激励等离子体的高频电场。而且,高频电压的频率不限于13.56MHz,也可以使用其它频率,例如400kHz等。此外,电极74、75不限于一对,也可以设置多个。
高频电路73构成为使高频电源76的第一和第二输出端子76a、76b分别成为接地端子(地侧)和非接地端子(热(ホツト)侧)。在供电线78、80上从高频电源76依次设置有匹配电路82和切换电路84。匹配电路82在内部具有线圈和可变电容,并构成为实现使高频电路78的阻抗匹配。
切换电路84具有在各供电线78、80上配设的互相连动的开关86A、86B。一个开关86A可以在与电极74连接的端子74a和通过支线80A与电极75连接的端子75b之间进行切换。另一个开关86B可以在与电极75连接的端子75a和通过支线78A与电极74连接的端子74b之间进行切换。
通过开关86A、86B连动并同时切换,可以在地侧和热侧之间切换电极74、75。此外,地侧指的是电极与高频电源76的第一输出端子(接地端子)76a连接的状态,热侧指的是电极与高频电源76的第二输出端子(非接地端子)76b连接的状态。例如,开关86A、86B设定为图3所示的状态时,电极74成为地侧,电极75成为热侧。
切换电路84的动作由切换控制器88来控制。切换控制器88在后述的主控制部60(参照图1)的支配下进行操作。切换电路84具有例如使用电磁继电器等的机械结构和使用晶体管等的开关元件的电子结构。尽管切换电路84可以在地侧和热侧切换两个电极74、75,但是也可以是其它结构。
回到图1,第二处理气体的气体分散喷嘴38在比晶片舟12上的最下层晶片W更下的位置上向处理容器4的半径方向外侧弯曲。然后,气体分散喷嘴38在气体激励部66内的第一深(离开处理容器4的中心的最远的部分)的位置上,垂直竖立。如图2所示,气体分散喷嘴38设置在比夹着一对相对的电极74、75的区域(高频电场最强的位置),即实际上产生主要电子立体的等离子体发生区域PS更向外侧的位置上。从气体分散喷嘴38的气体喷射孔38A喷射的含有NH3气体的第二处理气体向等离子体发生区域PS喷射,在那里被选择激励(分解或活化),在这个状态下向晶片舟12上的晶片W供给第二处理气体。
在盖体72的外侧安装有覆盖它的例如由石英制成的绝缘保护盖90。在作为绝缘保护盖90的内侧的与电极74、75相对的部分上设置由制冷剂通路构成的冷却机构(图中未示出)。通过在制冷剂通路中流动作为制冷剂的例如冷却的氮气而使电极74、75冷却。此外,在绝缘保护盖90的外侧设置覆盖它的用于防止高频泄漏的屏蔽件(图中未示出)。
在气体激励部66的狭缝70的外侧附近,即在狭缝70的外侧(处理容器4内)的一侧垂直竖立地配置第一处理气体的气体分散喷嘴40。通过在气体分散喷嘴40上形成的气体喷射孔40A向处理容器4的中心方向喷射含有DCS气体的第一处理气体。
另一方面,在与气体激励部66相对设置的排气口68上,按照覆盖它的方式通过熔接安装由石英制成的截面成形为コ字形状的排气口覆盖部件92。排气覆盖部件92沿着处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口94。气体出口94与配置真空泵等的真空排气系统GE连接。
按照包围处理容器4的方式,设置有加热处理容器4内的气氛和晶片W的加热器96。在处理容器4内的排气口68附近设置用于控制加热器96的热电偶(图中未示出)。
另外,成膜装置2包括由控制装置整体的动作的计算机等构成的主控制部60。主控制部60根据预先储存在与其相应的存储部62中的处理方案,按照例如在形成的膜的膜厚和组成等的条件进行后述的成膜处理。在这种存储部62中还储存有处理气体流量和膜的膜厚以及组成之间的关系作为预先控制数据。因此。主控制部60基于这些储存的处理方案和控制数据可以控制升降机构25、气体供给系统28、30、36、排气系统GE、气体激励部66、加热器96等。此外,存储介质例如是磁盘(柔性盘、硬盘(一个例子是在存储器62中包含的硬盘)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。
接下来,说明使用图1所示装置进行的成膜处理(即ALD或MLD成膜)。在这个成膜处理中,通过ALD或MLD在半导体晶片W上形成氮化硅膜。由此,选择性地向收纳晶片W的处理区域5内供给含有作为硅烷系气体的二氯硅烷(DCS)气体的第一处理气体、和含有作为氮化气体的氨气(NH3)的第二处理气体。具体地说,通过以下操作进行成膜处理。
<成膜处理>
首先,将保持多片例如50~100片尺寸为300mm的晶片W的常温晶片舟12装载在设定为预定温度的处理容器4内,密闭处理容器4。然后,使处理容器4内为真空并维持在预定处理压力下,同时升高晶片温度直到稳定在成膜用的处理温度,并待机。接着,使晶片舟12旋转,同时从气体分散喷嘴40、38间歇地供给第一和第二处理气体并分别控制流量。
含有DCS气体的第一处理气体是从气体分散喷嘴40的气体喷射孔40A按照相对于晶片舟12上的多片晶片W形成平行的气体流的方式供给的。这期间,DCS气体通过处理区域5的加热温度而被活化,DCS气体的分子或由其分解产生的分解生成物的分子或原子被吸附在晶片上。
另一方面,含有NH3气体的第二处理气体是从气体分散喷嘴38的气体喷射孔38A按照相对于晶片舟12上的多片晶片W形成平行的气体流的方式供给的。供给第二处理气体时,如下所述,气体激励部66设定为导通(ON)状态。
气体激励部66设定为ON状态时,第二处理气体通过一对电极74、75之间的等离子体发生区域PS时被激励,其一部分被等离子化。此时,例如生成N*、NH*、NH2 *、NH3 *等自由基(活性种)(记号“*”表示自由基)。这种自由基从气体激励部66的狭缝70向处理容器4的中心流出,并在晶片W相互之间按照层流状态供给。
上述自由基与晶片W的表面上附着的DCS气体的分子等反应,由此在晶片W上形成氮化硅的薄膜。此外,与此相反,在晶片W的表面上附着有来自NH3气体的自由基的情况下,即使在DCS气体流动的情况下,也发生同样的反应,在晶片W上形成氮化硅的薄膜。
图4是表示根据本发明实施方式的成膜处理中,气体供给和RF(高频)施加的状态的时序图。如图4所示,根据本实施方式的成膜处理交叉重复进行第一到第四工序T1~T4。即,多次重复操作由第一到第四工序T1~T4构成的循环,通过层叠每个循环中形成的氮化硅的薄膜,得到最终厚度的氮化硅膜。
具体地说,在第一工序T1中,向处理容器5供给第一处理气体(图4中表示为DCS),另一方面,维持关断向处理区域5供给第二处理气体(图4中表示为NH3)。在第二工序T2中,维持关断向处理区域5供给第一和第二处理气体。在第三工序T3中,向处理容器5供给第二处理气体,另一方面,维持关断向处理区域5供给第一处理气体。此外,在第三工序T3中,通过利用将RF电源76设定在ON状态的气体激励部66使第二处理气体等离子化,在激励第二处理气体的状态下向处理区域5供给第二处理气体。在第四工序T4中,维持关断向处理区域5供给第一和第二处理气体。
第二和第四工序T2、T4用作排除在处理容器4内残留的气体的吹扫工序(清洁工序)。这里,吹扫(清洁)指的是,通过流动N2气体等惰性气体并对处理容器4内进行真空排气,或者维持关断所有气体的供给并对处理容器4内进行真空排气,除去处理容器4内的残留气体。此外,还可以第二和第四工序T2、T4的前半部只进行真空排气,后半部同时进行真空排气和惰性气体的供给。此外,在第一和第三工序T1、T3中,在供给第一和第二处理气体时,可以停止处理容器4内的真空排气。但是,在同时供给第一和第二处理气体和对处理容器4内进行真空排气的情况下,可以在整个第一到第四工序T1~T4的过程中,持续进行处理容器4内的真空排气。
在第三工序T3中,通过从中途使RF电源76导通,可以只在后半部的期间在被激励的状态下向处理区域5供给第二处理气体。这种情况下,在第三工序T3中,经过预定时间Δt后,通过使RF电源76导通并用气体激励部66使第二处理气体等离子化,可以在被激励的状态下向处理区域5供给第二处理气体。这个预定时间Δt是直到NH3气体的流量稳定的时间,例如5秒左右。这样,通过在使第二处理气体的流量稳定化之后接通RF电源并生成等离子体,可以提高在晶片W的面间方向(高度方向)上的活性种的浓度均匀性。
图4中,将第一工序T1设定为约2~10秒,将第二工序T2设定为约5~15秒,将第三工序T3设定为约10~20秒,将第四工序T4设定为约5~15秒。此外,通过第一到第四工序T1~T4的一个循环形成的膜厚为0.11~0.13nm左右。因此,如果一批处理的目标膜厚例如为50nm,则应该重复进行450次左右这个循环。但是,这个时间和厚度只不过是单独的一个例子而已,这个数值不限于此。此外,一批处理指的是相对于一批的多片晶片在从装载到卸载之间进行的所有处理。
<电极的切换>
如图3所示,在开关86A、86B与端子74a、75a连接的第一状态,电极74成为地侧,电极75成为热侧。相反,在开关86A、86B与端子74b、75b连接的第二状态下,电极74成为热侧,电极75成为地侧。主控制部60用于在这种第一和第二状态下切换电极74、75,并通过控制器88切换以下的切换电路84的开关86A、86B。例如,在进行一批处理期间,即在重复进行预定次数上述循环期间,可以每一个循环或每多个循环进行开关86A、86B的切换。代替之,在进行一批处理期间,即,在重复执行预定次数上述循环期间,不进行开关86A、86B的切换,而可以在每个批处理种进行开关86A、86B的切换。取而代之,还可以在每次执行预定数量的批处理时进行开关86A、86B的切换。
在现有的装置中,由于电极74、75的地侧和热侧是常时固定,因此只有石英制的盖体72的热侧的部分被溅射,有在其周边上堆积很多附着物的倾向,且必须提高清洗处理的频率。与此相对,根据本实施方式,在与气体激励部66的电极74、75连接的供电线78、80上设置切换电路84,适当地切换电极74、75的地侧和热侧。由此,在石英制的盖体72内,可以防止附着物只大量地堆积在一个电极的附近部分上,可以在两个电极的附近部分平均化。由此,就能够降低清洗处理的频率,可以减少装置的停机时间(提高产量)。
这个理由如下。即,电极74、75内,地侧的电极的电位原理上成为溢流地电位,与此相对的热侧的电极的电位以对应于高频电力的大小的振幅进行大振动。这种情况下,由等离子体在与热侧的电极相对应的石英制的盖体72的内面上产生的离子重复进行激烈的撞击,消去了盖体72。与此同时发生被消去的SiO2粒子或SiO2分子的再次附着和其氮化,结果是,在热侧的电极的盖体72的内面侧上,存在形成很多不需要的附着物的倾向。与此相对,在地侧电极的盖体72的内面侧,由于难以发生上述的作用,因此存在难以形成不需要的附着物的倾向。
这种不需要的附着物构成某种程度以上的膜厚时发生部分剥落而产生颗粒。因此,通过抑制不需要的附着物的局部优先生长,即通过使用关于电极的热侧和地侧的切换,可以延长清洗期间并减小清洗处理的频率。
<试验1>
使用图1所示的装置对多个批量晶片进行氮化硅膜的成膜处理,并对产生的颗粒进行评价。在比较例中,总体进行20批处理,此时,根据现有技术,不进行气体激励部66的电极74、75的地侧和热侧的切换。此外,在根据上述实施方式的实施例中,总体进行29批处理,此时,在进行累积膜厚大致为0.8μm的第17个批量的批处理之后,切换气体激励部66的电极74、75的地侧和热侧。这里,在各批量处理中,对100片晶片在630℃的温度下进行处理,形成50nm的膜厚。此外,在各批量处理中,测量晶片舟的顶部、中心部、底部的晶片上的颗粒。颗粒数量统计为80nm以上的大颗粒数量。而且,在比较例和实施例中,1批处理的条件相同,不同点只在于总批处理数量和电极74、75的地侧和热侧的切换。
图5是表示比较例中的批处理数量和颗粒数量以及累积膜厚之间的关系的曲线。图6是实施例中的批处理数量和颗粒数量以及累积膜厚之间的关系的曲线。图5和图6中,左右纵轴表示颗粒数量,右侧纵轴便是累积膜厚。图5和图6中,棒状曲线表示颗粒数量,折线曲线表示累积膜厚。记号“T”、“C”、“B”分别表示晶片舟的顶部、中心部和底部的晶片。
在图5所示的比较例中,第10批处理时累积膜厚大致为1.0μm,此外颗粒数量超过100。这以后几乎所有的晶片处理其颗粒数量都在100以上。特别是,在第12、13、14和17批处理中,分别检测到飞溅脱落的很多颗粒。
在图6所示的实施例中,在切换电极74、75的地侧和热侧之后的第18~29批处理中,可以确认分别抑制了颗粒的产生。在这些批处理中,颗粒数量在100以下,显示了良好的结果。
<试验2>
在图1所示的装置中,作为在从气体分散喷嘴38供给的等离子体生成用气体为不同气体种类的情况下的、气体激励部66的石英制盖体72内面的刻蚀程度的评价结果。这里,处理压力设定为0.21Torr、处理温度设定为450℃、高频电力设定为500瓦特。而且,进行电极74、75的地侧和热侧的切换。作为从气体分散喷嘴38供给的气体,使用H2、N2、NH3、Ar(两种的处理时间),对于各气体,测量对盖体72的刻蚀量和沉积量。而且,注意各气体的各个处理时间是不同的。
图7是表示气体激励部66的石英制盖体72的刻蚀量的气体种类相关性的曲线。如图7所示,不管气体种类如何都产生很少的刻蚀或沉积。与此相对,尽管热侧的盖体因气体种类不同而导致刻蚀量存在大小差异,但是总体上都是受到大幅度的刻蚀。
<变形例>
在上述实施方式中,气体激励部66的石英制盖体72(等离子体生成箱)向处理容器4的外侧突出。取而代之,在处理容器内配设气体激励部的装置也可以适用于本发明。
在上述实施方式中,在主控制部60和控制器88的控制下,将切换电路84的开关86A、86B设定为自动切换。代替之,开关86A、86B也可以通过手动进行切换。作为切换电路84,可以构成为通过手动将供电线78、80的连接状态在交叉连接和平行连接之间进行切换。
在上述实施方式中,由于形成氮化硅膜(SiN、SiN2),因此第二处理气体含有氮化气体。代替之,本发明同样也可以适用于氮氧化硅膜和氧化硅膜的成膜。在本发明适用于形成氮氧化硅膜的情况下,代替氮化气体,可以使用一氧化二氮(N2O)、一氧化氮(NO)等的氮氧化气体。此外,在本发明适用于氧化硅膜的形成的情况下,代替氮化气体,可以使用氧(O2)、臭氧(O3)等氧化气体。
此外,处理上述各种气体之外,还可以添加用于导入杂质元素的BCl3气体和用于导入碳元素的乙烯等的杂质气体。此外,作为成膜处理,不限于上述的ALD处理,其他成膜处理,例如通常的等离子体CVD(化学气相沉积)处理也可以适用于本发明。此外,本发明也可以适用于除了上述等离子体成膜处理以外的等离子体处理,例如等离子体刻蚀处理、等离子体氧化扩散处理、等离子体改质处理等。此外,本发明还可以适用于上述半导体晶片以外的被处理基板,例如玻璃基板、LCD基板、陶瓷基板等。

Claims (18)

1.一种对多个被处理基板一起进行等离子体处理的半导体处理用的立式等离子体处理装置,其特征在于,包括:
具有收纳所述被处理基板的处理区域并且能够设定气密状态的纵长的处理容器;
在所述处理容器内按照互相间隔开并在垂直方向重叠的状态保持多个被处理基板的保持部件;
向所述处理容器内供给处理气体的气体供给系统;
对所述处理容器内进行排气的排气系统;和
对所述处理气体的至少一部分进行等离子体化的激励机构,
所述激励机构包括:
对应所述处理区域安装在所述处理容器内并且形成与所述处理区域气密连通的等离子体发生区域的等离子体生成箱;
夹着所述等离子体发生区域相对地配置在所述等离子体生成箱上的第一和第二电极;
向所述第一和第二电极供给等离子体发生用的高频电力的高频电源,所述高频电源包括第一和第二输出端子,所述第一和第二输出端子分别是接地和非接地端子;
与所述第一和第二电极以及第一和第二输出端子连接的第一和第二供电线;和
切换机构,用于切换第一状态和第二状态,其中第一状态为所述第一电极和所述第一输出端子连接并且所述第二电极和所述第二输出端子连接,第二状态为所述第一电极和所述第二输出端子连接并且所述第二电极和所述第一输出端子连接。
2.根据权利要求1所述的装置,其特征在于:
所述等离子体生成箱具有石英制的内面。
3.根据权利要求1所述的装置,其特征在于:
所述等离子体生成箱安装在所述处理容器的外侧,所述第一和第二电极设置在所述等离子体生成箱的外侧。
4.根据权利要求1所述的装置,其特征在于:
所述切换机构包括设置在所述第一和第二供电线上的第一和第二开关以及同时操作所述第一和第二开关的切换控制器。
5.根据权利要求1所述的装置,其特征在于:
还包括控制所述装置的操作的控制部件,所述控制部件被预先设定成在对所述被处理基板进行一批处理期间,切换所述激励机构的所述第一和第二状态。
6.根据权利要求1所述的装置,其特征在于:
还包括控制所述装置的操作的控制部件,所述控制部件预先设定成在对所述被处理基板进行一批处理期间,不切换所述激励机构的所述第一和第二状态。
7.根据权利要求6所述的装置,其特征在于:
所述控制部件预先设定成在多次进行批量处理之后,切换所述激励机构的所述第一和第二状态。
8.根据权利要求1所述的装置,其特征在于:
所述处理气体包括用于在所述被处理基板上形成薄膜的第一和第二成膜气体,所述气体供给系统包括不通过所述等离子体发生区域向所述处理区域供给所述第一成膜气体的第一成膜气体供给系统、和通过所述等离子体发生区域向所述处理区域供给所述第二成膜气体的第二成膜气体供给系统。
9.根据权利要求8所述的装置,其特征在于:
还包括控制所述装置的操作的控制部件,所述控制部件预先设定成进行在所述处理容器内在所述被处理基板上形成所述薄膜的成膜处理,此处,重复执行预定次数的包括以下工序的循环:向所述处理区域供给所述第一成膜气体的工序、和在向所述处理区域供给所述第二成膜气体的同时通过所述激励机构进行激励的工序。
10.根据权利要求8所述的装置,其特征在于:
所述第一成膜气体包括硅烷系气体,所述第二成膜气体选自氮化气体、氮氧化气体、以及氧化气体。
11.一种对多个被处理基板一起进行等离子体处理的半导体处理用的立式等离子体处理装置的使用方法,其特征在于,所述立式等离子体处理装置包括:
具有收纳所述被处理基板的处理区域并且能够设定气密状态的纵长的处理容器;
在所述处理容器内按照互相间隔开并在垂直方向重叠的状态保持多个被处理基板的保持部件;
向所述处理容器内供给处理气体的气体供给系统;
对所述处理容器内进行排气的排气系统;和
对所述处理气体的至少一部分进行等离子体化的激励机构,
所述激励机构包括:
对应所述处理区域安装在所述处理容器上并且形成与所述处理区域气密连通的等离子体发生区域的等离子体生成箱;
夹着所述等离子体发生区域相对地配置在所述等离子体生成箱上的第一和第二电极;
向所述第一和第二电极供给等离子体发生用的高频电力的高频电源,所述高频电源包括第一和第二输出端子,所述第一和第二输出端子分别是接地和非接地端子;和
与所述第一和第二电极以及第一和第二输出端子连接的第一和第二供电线,
所述使用方法包括:
在向所述处理区域供给所述处理气体的同时通过所述激励机构对所述处理气体的至少一部分进行等离子体化,同时在所述处理区域内对所述被处理基板进行半导体处理的工序;和
作为用于使所述处理气体的至少一部分等离子体化的所述激励机构的状态,切换使用第一状态和第二状态的工序,该第一状态为所述第一电极和所述第一输出端子连接并且所述第二电极和所述第二输出端子连接、该第二状态为所述第一电极和所述第二输出端子连接并且所述第二电极和所述第一输出端子连接。
12.根据权利要求11所述的方法,其特征在于:
所述方法在对所述被处理基板进行一次批处理期间,切换所述激励机构的所述第一和所述第二状态。
13.根据权利要求11所述的方法,其特征在于:
所述方法在对所述被处理基板进行一次批处理期间,不切换所述激励机构的所述第一和所述第二状态。
14.根据权利要求13所述的方法,其特征在于:
所述方法在重复进行多次批量处理之后,切换所述激励机构的所述第一和第二状态。
15.根据权利要求11所述的方法,其特征在于:
所述处理气体包括用于在所述被处理基板上形成薄膜的第一和第二成膜气体,所述方法进行成膜处理,该成膜处理包括不通过所述等离子体发生区域向所述处理区域供给所述第一成膜气体的工序以及通过所述等离子体发生区域向所述处理区域供给所述第二成膜气体的工序。
16.根据权利要求15所述的方法,其特征在于:
所述成膜处理重复进行预定次数的交互具有以下工序的循环:向所述处理区域供给所述第一成膜气体的工序、和在向所述处理区域供给所述第二成膜气体的同时通过所述激励机构进行激励的工序。
17.根据权利要求15所述的方法,其特征在于:
所述第一成膜气体包括硅烷系气体,所述第二成膜气体选自氮化气体、氧化气体以及氮氧化气体。
18.根据权利要求11所述的方法,其特征在于:
所述第一和第二状态的切换通过切换控制器来控制切换电路的操作。
CN2008101769928A 2007-10-19 2008-10-17 立式等离子体处理装置及其使用方法 Expired - Fee Related CN101413113B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007-272626 2007-10-19
JP2007272626A JP5228437B2 (ja) 2007-10-19 2007-10-19 処理装置及びその使用方法
JP2007272626 2007-10-19

Publications (2)

Publication Number Publication Date
CN101413113A CN101413113A (zh) 2009-04-22
CN101413113B true CN101413113B (zh) 2012-05-16

Family

ID=40593845

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101769928A Expired - Fee Related CN101413113B (zh) 2007-10-19 2008-10-17 立式等离子体处理装置及其使用方法

Country Status (5)

Country Link
US (1) US20090124087A1 (zh)
JP (1) JP5228437B2 (zh)
KR (1) KR101077695B1 (zh)
CN (1) CN101413113B (zh)
TW (1) TWI407509B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
CN103031546B (zh) * 2011-09-29 2016-01-20 中国科学院微电子研究所 一种原子层沉积设备及其使用方法
CN102394222B (zh) * 2011-11-24 2016-05-04 上海华虹宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
JP5977274B2 (ja) * 2013-03-21 2016-08-24 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具
JP6011420B2 (ja) 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
CN110408912A (zh) * 2019-09-11 2019-11-05 光驰科技(上海)有限公司 一种多片式旋转等离子体增强原子层沉积成膜装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101042992A (zh) * 2006-03-24 2007-09-26 东京毅力科创株式会社 半导体处理用的立式等离子体处理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61214426A (ja) * 1985-03-19 1986-09-24 Agency Of Ind Science & Technol プラズマcvd装置
JPH0722394A (ja) * 1993-06-23 1995-01-24 Nec Corp 半導体製造装置
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6251233B1 (en) * 1998-08-03 2001-06-26 The Coca-Cola Company Plasma-enhanced vacuum vapor deposition system including systems for evaporation of a solid, producing an electric arc discharge and measuring ionization and evaporation
JP3586197B2 (ja) 2000-03-23 2004-11-10 シャープ株式会社 薄膜形成用プラズマ成膜装置
JP3817171B2 (ja) * 2001-12-17 2006-08-30 シャープ株式会社 プラズマプロセス装置
CN100477105C (zh) * 2003-03-04 2009-04-08 株式会社日立国际电气 衬底处理装置和器件的制造方法
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP2005123525A (ja) * 2003-10-20 2005-05-12 Japan Steel Works Ltd:The セルフクリーニング機能付きcvd装置
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4983063B2 (ja) * 2006-03-28 2012-07-25 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101042992A (zh) * 2006-03-24 2007-09-26 东京毅力科创株式会社 半导体处理用的立式等离子体处理装置

Also Published As

Publication number Publication date
US20090124087A1 (en) 2009-05-14
KR101077695B1 (ko) 2011-10-27
TWI407509B (zh) 2013-09-01
KR20090040227A (ko) 2009-04-23
CN101413113A (zh) 2009-04-22
TW200935516A (en) 2009-08-16
JP2009099919A (ja) 2009-05-07
JP5228437B2 (ja) 2013-07-03

Similar Documents

Publication Publication Date Title
JP4382750B2 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
CN101051606B (zh) 立式等离子体处理装置和半导体处理方法
CN101413113B (zh) 立式等离子体处理装置及其使用方法
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
CN101042992B (zh) 半导体处理用的立式等离子体处理装置
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
CN101096755B (zh) 成膜装置和使用该装置的方法
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR101274616B1 (ko) 플라즈마 처리 장치
KR101146397B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체
CN1891859B (zh) 氮氧化硅膜的形成方法
KR101141913B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법
KR101160788B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치
KR101149097B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법
US10224185B2 (en) Substrate processing apparatus
TWI404124B (zh) 半導體製程用薄膜形成裝置及使用其之方法
KR100983452B1 (ko) 실리콘 질화막의 형성 방법
WO2020189288A1 (ja) 成膜方法および成膜装置
WO2004095555A1 (ja) 熱処理装置のクリーニング方法
JP2004343095A (ja) 熱処理装置のクリーニング方法
JP2006013105A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120516

Termination date: 20211017

CF01 Termination of patent right due to non-payment of annual fee