CN101310191A - 集成电路装置以及设计方法 - Google Patents

集成电路装置以及设计方法 Download PDF

Info

Publication number
CN101310191A
CN101310191A CNA2006800423480A CN200680042348A CN101310191A CN 101310191 A CN101310191 A CN 101310191A CN A2006800423480 A CNA2006800423480 A CN A2006800423480A CN 200680042348 A CN200680042348 A CN 200680042348A CN 101310191 A CN101310191 A CN 101310191A
Authority
CN
China
Prior art keywords
compression
integrated circuit
network
digital output
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800423480A
Other languages
English (en)
Other versions
CN101310191B (zh
Inventor
亨德里克斯·P·E·弗兰肯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Publication of CN101310191A publication Critical patent/CN101310191A/zh
Application granted granted Critical
Publication of CN101310191B publication Critical patent/CN101310191B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31703Comparison aspects, e.g. signature analysis, comparators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/3193Tester hardware, i.e. output processing circuits with comparison between actual response and known fault free response
    • G01R31/31932Comparators

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

一种集成电路(IC)装置(10)包括具有数字电路部分(120)的集成电路(100),该数字电路部分具有多个数字输出端(122),每个输出端均用于在集成电路(100)测试模式中提供测试结果。装置(10)还包括空间压缩逻辑(140),该空间压缩逻辑包括具有多个压缩域(162)的空间压缩网络(160),每个域均用于将多个测试结果压缩成其它测试结果,该空间压缩逻辑还包括耦接在多个数字输出端(122,210)和空间压缩网络(160)之间的传播网络(150),该传播网络用于将来自数字输出端(122,210)的每个测试结果复制到多个压缩域(162)。该空间压缩逻辑(140)可能位于IC 100上或者位于其外部(例如位于测试仪器上或者测试接口上),与没有传播网络的SCL相比,该空间压缩逻辑降低了故障抵消或者故障混淆的危险。

Description

集成电路装置以及设计方法
技术领域
本发明涉及具有空间压缩逻辑(space compaction logic)的集成电路(IC)装置,该空间压缩逻辑用于对来自装置IC的数字输出端的测试结果进行压缩。
本发明还涉及用于设计这种空间压缩逻辑的方法。
背景技术
IC测试迅速地成为IC的制造成本中的主导因素。其中的一个主要原因是,对于复杂IC而言,测试是耗时的。这主要是因为大量的测试输入数据和输出数据必须与被测IC进行通信。因此,用以减少在这个通信中所涉及的数据大小的措施已经引起了广泛的关注。
例如,已经公开了对数字测试输入数据进行压缩的测试方案,其中,IC具有板级提取器,其用于将测试输出数据还原至初始尺寸。类似地,板级压缩器对被测IC的数字测试输出进行了压缩,并且以这种压缩形式,将IC测试结果提供给外部环境。可以在以下文献中找到这个方法的示例:“Parity-based output compaction forcore-based SOCs”by Sinanoglu et al.,Proc.Of the Eight IEEEEuropean Test Workshop,pages 15-20,IEEE ETW 2003。在这种方法中,对响应于测试输入(例如提供给测试中的IC的测试向量)的每个压缩的测试响应进行分析,以确定所提供的测试向量是否触发了故障检测。
使用压缩的测试结果的缺点是会损失测试分辨率,尤其是当使用基于奇偶树的压缩器时,该压缩器通常是基于异或逻辑门的。因此,在被馈送进比较器的IC输出端上产生偶数个故障位的故障的出现,或多个故障的同时出现,会导致故障位彼此抵消。而且,由于故障混淆,所以故障定位会变得更加困难,其中多个故障同时在IC的不同输出端上产生相同的故障位,这意味着压缩的测试响应仅仅表示了一些故障位的出现,而不可能将故障位归为特定故障。
发明内容
本发明寻求提供一种具有提高的测试分辨率的如本文开篇所述的集成电路装置。
本发明还寻求提供一种用于为该装置设计空间压缩逻辑的方法。
根据本发明的一个方面,提供了一种集成电路装置,该集成电路装置包括:集成电路,其包括多个数字输出端,每个输出端均用于在集成电路的测试模式中提供测试结果,以及空间压缩逻辑,其包括:具有多个压缩域的空间压缩网络,每个域均用于将多个测试结果压缩成其它测试结果,其还包括耦接在多个数字输出端和空间压缩网络之间的传播网络,该传播网络被布置成将来自数字输出端的每个测试结果复制成多个压缩域。
通过将空间压缩逻辑分成多个域,这些域可能是诸如XOR门之类的异或或者同或逻辑门(exclusive logic gate)的分立树,其中每个域均经由传播网络接收数字输出端的子集,在空间压缩逻辑的输出端可以获得更详细的IC测试结果。特别地,在该IC上减少了破坏板上故障的可观测性和/或可检测性的故障抵消和故障混淆的危害,这是因为这只倾向于在某些压缩域中发生,而其它压缩域仅仅对导致抵消或者混淆的故障的子集敏感,这就避免了在这些域中出现这些不希望的效应。
为此,优选地,每个压缩域都耦接至数字输出端的唯一一组,这是因为这样能使得在所有域中出现抵消和/或混淆效应的可能性变得最小。
优选地,传播网络被可配置地耦接至IC的数字输出端,从而有助于绕开在IC的工作状态(即操作状态)下的空间压缩逻辑。
空间压缩网络可被置于IC上,其中可在IC的至少一些管脚上直接观测它的输出。这种配置具有这样的优点,即测试结果很容易获取(例如在测试时钟的各周期之后获取),从而有助于测试结果的快速处理。
可选地,每个压缩域都具有用于产生它的其它测试结果的输出端,集成电路还包括用于串行地将数据向集成电路的测试数据输出端移动的移位寄存器,压缩域的各个输出端被耦接至移位寄存器的各个单元。这种配置具有这样的优点,即IC仅仅需要具有单个测试数据输出管脚,这有助于在需要专门测试管脚的情况下减低IC的管脚数。
空间压缩逻辑还可被置于IC外部,例如作为测试装置的一部分,该测试装置包括自动测试仪器和用于将集成电路耦接至测试仪器的负载板,而空间压缩逻辑可以位于负载板或自动测试仪器上。
根据本发明的另一方面,提供了一种用于设计空间压缩逻辑的方法,该压缩逻辑用于测试具有多个数字输出端的集成电路,该空间压缩逻辑包括空间压缩网络,空间压缩网络具有多个具有m个输出端的压缩域,每个域均用于将多个测试结果压缩成其它测试结果,该空间压缩逻辑还包括传播网络,传播网络包括用于在多个数字输出端和所述空间压缩网络之间进行耦接的n个输入端,传播网络用于将来自数字输出端的每个测试结果复制到f个压缩域,f、n和m是正整数,n大于m,m大于f,所述方法包括:产生一组位向量,每个向量都包括m位,每位都指示了从传播网络的输入端到空间压缩网络的输出端的导电通道的存在,每个向量的导电通道总数为f;并且将来自位向量组的n个向量结合成大小为n*m的矩阵,从而在垂直于矢量方向的矩阵方向上使得导电通道的数目是有限的,所述矩阵代表了该空间压缩逻辑设计。
根据该方法,可以设计出本发明的集成电路装置。
附图说明
参见附图,将以非限制性示例的方式对本发明进行更详细的描述,其中:
图1描述了本发明的IC装置;
图2描述了本发明的另一个IC装置;以及
图3描述了本发明的设计方法的流程图。
具体实施方式
应该理解的是,附图仅仅是示意性的,而不是按照比例绘制的。
还应该理解的是,在所有图中使用相同的标号来表示相同的或类似的部分。
图1示出了具有IC 100的IC装置,IC 100具有数字部分120。数字部分120具有数字输出端122,其导电耦接至空间压缩逻辑140。数字输出端122可能是用于对测试模式下的IC 100的内部电路进行测试的扫描链的输出端,或者可能是其它数据输出端。空间压缩逻辑140包括传播网络150和空间压缩网络160,空间压缩网络具有多个空间压缩域162,其中每个域均被实现为XOR树,但是其它实现方法同样可行。传播网络150通过各个信号分离器124耦接至数字输出端122,信号分离器124能对测试启动信号T_EN作出响应。如果不存在该信号,那么信号分离器124则确定空间压缩逻辑从来自数字输出端122的传导信号通道中移除,例如通过将信号从数字输出端122转发至IC引脚180。信号分离器124仅以非限制性示例的方式示出;其它类型的开关或者甚至能使IC 100进入测试模式的其它装置也是可行的。
传播网络150用于多次复制每个数字输出,并用于提供相应数目的具有复制的输出的压缩域162。优选地,每个压缩域162都从传播网络150接收唯一的一组输入,也就是说,每个压缩域162都耦接至唯一的数字输出端子集122。由于不存在两个压缩域162接收同一组输入的情况,所以大大降低了所有压缩域162都出现故障抵消或混淆的可能性。压缩域162可能均具有同样数目的输入端,但这并不是严格要求的。
空间压缩网络160的输入端数(即,所有压缩域162的输入端之和)和数字输出端122的数目之间的比值确定了传播网络150的传播因数或倍增因数。例如,对于具有100个数字输出端的IC 120和具有500个输入端的空间压缩网络160,传播网络150的因数f为5。针对布线目的,优选地,该因数越小约好,从而避免在IC装置设计中出现走线拥挤。如果空间压缩逻辑140被布置在IC上,如图1所示,那么问题就尤其相关了。
在图1中,IC 100还包括移位寄存器170,其与空间压缩网络160的输出端连接。在测试模式中,移位寄存器170(它可能被遵循IEEE 1149.1标准(也称JYAG)的测试接入端口控制器(未示出)控制)将从空间压缩网络160的输出端获取的测试结果转发至测试数据输出(TDO)管脚172,该管脚可能是遵循IEEE 1149.1标准(也称JYAG)的测试接入端口(未示出)的一部分,从而有助于在IC 100外部对测试结果进行观察。这就限制了必须与诸如自动测试仪器(ATE)之类的外部装置接触的IC管脚的数目,这降低了损坏这种管脚的危险。
需要强调的是,本发明并非必然需要移位寄存器170;可选地,空间压缩网络160的输出端上的测试结果还可以被转发至IC管脚180,从而有助于在IC 100外部对测试结果进行观察。与基于与移位寄存器的方案相比,虽然这个方案要求更多的必须接触的管脚,但是该方案具有这样的优势,即可以在IC 100的外部更快地得到测试结果,这降低了测试时间和成本。
另外需要强调的是,说明书和权利要求中所使用的词语“集成电路装置”旨在包括独立的集成电路(即没有外部测试仪器)的实施例。
图2示出了本发明的IC装置20,其中,根据本发明的测试仪器220与IC 200一同示出。测试仪器220具有多个(例如,经由接口280(例如负载板)的互连线282)导电耦接至IC 200的数字输出端210的输入端222。在IC装置20中,图1中详细描述的空间压缩逻辑140存在于测试仪器220的板上。于是存在这样的优势,即IC 200无需添加其它硬件(即空间压缩逻辑140)。压缩的测试结果可能被转发至处理器224以便进一步处理和/或解读。
可选地,传统的测试仪器可以采用被布置在接口280上的本发明的空间压缩逻辑140。这改进了测试仪器的灵活性,这是因为相对于测试仪器,对于特定应用,接口280更容易修改和制造并因此更便宜。
然而,希望的是,优选实施例使得SCL 140存在于IC 100的板上。诸如IC 100之类的IC的测试持续时间通常取决于测试期间可访问的管脚的数量。在可用有限的管脚的情况下,只有少许扫描链能耦接至这些少量可用管脚,并且在CUT 120内部必须使用慢扫描链来实现足够的测试覆盖,而这增加了总的测试时间。SCL 140的存在意味着在IC设计中可以使用更多更短的扫描链,从而改进这种IC的测试持续时间。
空间压缩逻辑(SCL)140可以按照以下方式进行设计。IC 100具有n个数字输出端122,IC测试期间就是在这些输出端上观测测试响应的。例如,如前面所述,这n个数字输出端122是扫描链输出端或者数据输出端。在图1中,IC 100的这n个数字输出端122与SCL140连接,以便在每个时钟周期内将这n个测试响应压缩成m位。
在传播网络150中,n个SCL输入信号中的每个信号都被用作扇出干线从而馈入f个扇出分支152。因此,传播网络具有n个输入和n·f个输出。传播网络150的这n·f个输出被用作压缩网络160的输入,以将测试响应压缩成m个输出位。压缩网络包括X(N)OR门组成的m个域162(即m个X(N)OR树),并且具有n·f个输入和m个输出。压缩网络160中的每个域162都具有一个输出和或者
Figure A20068004234800102
个输入,因此使得m1·g1+m2·g2=n·f并且m1+m2=m。SCL140将n位压缩成m位,因此其压缩率为c=n/m。在图1中,作为示例,在IC 100上实现了完整的SCL 140。SCL 140还可能被放置在片外,例如,如前面在图2中说明和示出的,SCL 140在负载板280上或者在自动测试仪器220中。
所有SCL输入可能具有相同的扇出f,而压缩网络160中的每个基于XOR树的域162的输入端的数目也几乎相等(g1或g2)。由于SCL 140很容易产生,所以这些选择是很方便的,所以这是个优选实施例,但也并不是严格要求这样做的。
具有n个输入和m个输出的SCL 140的函数可以在n行m列的矩阵M中表示。元素mij对应于矩阵M中第i行第j列的元素。矩阵具有以下特性:
·mij=1表示存在从第i个SCL输入端经由传播网络和压缩网络到第j个SCL输出端的连接;mij=0表示不存在这样的连接。
·每一行对应于一个SCL输入端。在传播网络中,每个SCL输入端都馈入f个扇出分支。因此,每行都包括f个1和m-f个0。
·每一列对应于一个SCL输出端。在压缩网络160中,每个SCL输出端都连接至g个输入端(g等于g1或g2)。因此,每列都包含g个1和n-g个0。
由于mij∈{0,1},所以任意SCL输入端的f个扇出分支中的每一个分支都连接至不同的XOR树。如果f≤m,这些得到确保。为了使得从SCL输入端传递至SCL输出端的信息量达到最大,对SCL以及相应的矩阵加入以下限制:
·所有列都是不同的。因此,每个SCL输出都包括来自SCL的不同输入组的信息。
·任意两列之间的重叠都是最少的。这就使得每个SCL输出端上的、同样出现在其它任意SCL输出端上的信息的量变得最少。两列之间的重叠被定义为以下:
对于两个位,重叠(overlap)被定义为:overlap(0,0)=overlap(1,1)=1,并且overlap(0,1)=overlap(1,0)=0。这对应于XNOR函数,因此overlap(a,b)=a XNOR b。
对于两个位向量,overlap(ab)被定义为weight(a XNOR b)。位向量的权重(weight)表示向量中的位数为:
1.例如,overlap(0100,0110)=weight(0100 XNOR 0110)=weight(1101)=3。位向量0100和0110有3位相同。
为了使压缩率最大,数字输出端的数目n应该相对较大,而SCL输出端的数目m应该相对较小。此外,应该使扇出数f较小以便在创建IC电路布局时有助于SCL的布线。实际上,n将处于0(10)至0(1000)的范围内,m将处于0(1)至0(10)的范围内,将处于0(1)的范围内。
在SCL矩阵M中,每列包括n位,其中g位为1,每行包括m位,其中f位为1。因此,不同列和不同行的总数分别对应于 n g m f . 实际上,由于n和g相对较大,所以 n g 将非常大,而由于m和f相对较小,所以 m f 将相当小。例如,对于n=1000,m=100,f=2,以及 g = n · f m = 1000 · 2 100 = 20 , 那么 n g = 3.4 · 10 41 , m f = 4950 . 实际上,因此是可能枚举1,…, m f 而不能枚举1,…, n g 的。这一特性适于如下所述的SCL 140的有效产生。
参见图3的流程图,对于给定的参数n、m、和f,如下设计SCL140。
在步骤310中,产生m位宽的所有位向量的集合C,其中包括f个1和m-f个0;并且在步骤320中,由集合C构建矩阵M。矩阵M的行是来自集合C的位向量。向量是这样从集合C中选出的:矩阵M中的任意两列的重叠为最少。步骤310和320的细节如下。
集合C包含所有的m位宽的位向量,其中包括f个1和m-f个0。存在 m f 种可能的向量,因此 | C | = m f . 集合C可在矩阵Q中表示,从而C中的每个向量c i都对应于Q中的第i行。矩阵Q具有m列和 m f 行,并且每行都包含f个1。此外,矩阵Q的每列都包含 m - 1 f - 1 个1。(这对应于在某个列位置中存在一个1的行的数量,而其余的f-1个1任意地分布在整个剩余m-1个列位置中)。
矩阵M由矩阵Q中的行组成。令ni表示来自矩阵Q的第i行出现在矩阵M中的次数。矩阵M具有n行,所以:
i=1,...,|C|ni=n    (1)
令qij表示矩阵Q第i行第j列的元素。矩阵M中的每列都应该包括g个1和n-g个0,所以:
∀ j = 1 . . . m : Σ i = 1 , . . . , | C | n j · q ij = n - - - ( 2 )
等式(1)和(2)在 m f 个未知数(ni)中产生了m+1个线性方程组。如果 m + 1 < m f , 那么该方程组可解。
矩阵M中的任意两列之间的重叠应该被最小化,因此来自矩阵Q的行在矩阵M中的出现次数应该被最小化。因此,存在使maxi=1,...,|C|ni最小的限制。由于在矩阵Q中有 h = m - 1 f - 1 行在同一位置是1,于是该限制允许选择适当的解法。
对于f=1,SCL 140不具有传播网络150,它仅由压缩网络160组成。在这种情况下,每个SCL输入端都连接至一个SCL输出端,因此每个SCL输出端都连接至不同的SCL输入端组。
对于f>1,如果那么重叠最小,这就导致g≤h,因此 n &le; m f . 注意,这个条件与条件m<n结合会导致 m < m f , 这也是获得可解的线性方程组的条件。矩阵Q包含 m f 行和m列。每行包括f个1,并且每列包含 m - 1 f - 1 个1。矩阵Q中任意两列的最大重叠为:
max i , j = 1 , . . . , m , i &NotEqual; j &Sigma; k = 1 , . . . , m f q ki &CenterDot; q kj = m - 2 f - 2
这后一结果是通过对特定两列位置上为1的行进行计数得来的,因此,其具有剩余的f-2个1任意地分布在剩余的m-2个列位置上。矩阵M中的任意两列的最大重叠为:
Figure A200680042348001310
在重叠最小的情况下,压缩率为 c = n m &le; m f &CenterDot; 1 m . 因此,对于更大的f,可以得到更高的压缩率,并且在f=m/2时得到最大值。然而,实际上,如上所述,f必须被选定为较小值,以避免在对放置了SCL 140的IC 100进行布置和布线时产生拥挤。
以下给出了其中n=10,m=4,f=2的SCL 140的设计的示例,其中使用了的本发明的设计方法。根据本发明的思想,可以得出 g = n &CenterDot; f m = 10.2 4 = 5 , 并且 h = m - 1 f - 1 = 3 1 = 3 .
现在:
a)包含两个1的4位宽的所有位向量的集合C,具有基数 | c | = m f = 4 2 = 6 . C={(1100),(1010),(1001),(0110),(0101),(0011)}。
b)6个未知数的m+1=5个方程的组如下:
n1+n2+n3+n4+n5+n6=n=10
n1·1+n2·1+n3·1+n4·0+n5·0+n6·0=n1+n2+n3=g=5
n1·1+n2·0+n3·0+n4·1+n5·1+n6·0=n1+n4+n5=g=5
n1·0+n2·1+n3·0+n4·1+n5·0+n6·1=n2+n4+n6=g=5
n1·0+n2·0+n3·1+n4·0+n5·1+n6·1=n3+n5+n6=g=5
对该组进行求解得出以下结果:
n1=n6,n2=n5,n3=n4,并且n1+n2+n3=5
c)由于
Figure A20068004234800144
所以每一行在矩阵M中最多出现两次。
因此,适当的解为:
解1:n1=1,n2=2,n3=2,n4=2,n5=2,n6=1
解2:n1=2,n2=1,n3=2,n4=2,n5=1,n6=2
解3:n1=2,n2=2,n3=1,n4=1,n5=2,n6=2
对应于解1的矩阵M为:
1 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 0 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 0 1 1
应当指出的是,该矩阵中的行可以被任意重排。
d)矩阵M中的列之间的最大重叠为
Figure A20068004234800146
为了减小重叠,所有行都应该不同,并且应该有 n &le; m f . f = m 2 时得到最大压缩。对于m=4以及f=2,有n≤6,这与条件n=10冲突。
因此,SCL 140是不能构建成使SCL输出之间具有最小重叠的n=10及m=4的。然而,通过增大m,这还是可以实现的,例如选择m=5以及f=2。
根据本发明的SCL 140的故障检测能力为:
·如果矩阵M中的所有位都不为0,那么总能检测出单个故障。对于扇出f,在f个SCL输出端观测到故障。
·如果所有行都不同,那么可以检测出两个同时出现的故障。那么,任意两行的XOR(即模2和)是不等于0的向量。
·如果任意奇数个行的XOR(即模2相加)得出不等于0的向量,可以检测出任意奇数个同时出现的故障。例如,在扇出f为奇数时可以实现。在这种情况下,所有行包括一个奇数量的1。任意奇数个行(其中每行都包括奇数个1)中1的总数是两个奇数的乘积(得出的结果也总是奇数)。
·可能检测不出四个或者更多偶数个同时出现的故障。然而,SCL 140的设计确保了不能检测的可能性是最小的。
应该注意的是,上面提及的实施例图示说明了而不是限制了本发明,并且在不脱离附属权利要求的范围的情况下,所属技术领域的技术人员能够设计许多可替换的实施例。在权利要求中,在括号之间的任何标号不应该被理解为对本发明的限制。词语“包括”不排除在权利要求中所列的元素或步骤外的其它元素和步骤的存在。元素前的词语“一个”或“一种”不排除多个这种元素的存在。可以通过包括几个不同的元件的硬件方式实现本发明。在枚举了几个装置的设备权利要求中,可以通过一个硬件或硬件中的同一项目来实施这些装置中的几个。事实仅仅在于,在彼此不同的独立的权利要求中所述的几种方法并不表示不能使用这些方法的结合以获得优点。

Claims (14)

1.一种集成电路装置(10,20),其包括:
集成电路(100,200),其包括多个数字输出端(122,210),每个输出端均用于在所述集成电路的测试模式中提供测试结果;以及
空间压缩逻辑(140),其包括:
空间压缩网络(160),其具有多个压缩域(162),每个域均用于将多个测试结果压缩成其它测试结果;以及
传播网络(150),其被耦接在所述多个数字输出端(122,210)和所述空间压缩网络(160)之间,所述传播网络用于将来自所述数字输出端(122,210)的每个测试结果复制到多个压缩域(162)。
2.如权利要求1所述的集成电路装置(10,20),其中每个压缩域(162)都包括异或或者同或逻辑门网络。
3.如权利要求1或2所述的集成电路装置(10,20),其中每个压缩域(162)都耦接至数字输出端(122,210)的唯一一组。
4.如权利要求1、2或3所述的集成电路装置(10,20),其中每个压缩域(162)都具有产生它的其它测试结果的输出端,所述集成电路还包括串行地将数据向所述集成电路的测试数据输出端(172)移动的移位寄存器(170),所述压缩域(162)的各个输出端被耦接至所述移位寄存器(170)的各个单元。
5.如权利要求1、2或3所述的集成电路装置(20),其还包括测试装置,该测试装置包括自动测试仪器(220)和用于将所述集成电路耦接至所述测试仪器的负载板(280),所述测试装置还包括空间压缩逻辑(140)。
6.如上述任一权利要求所述的集成电路装置(10,20),其中所述传播网络(150)可配置地耦接至所述数字输出端。
7.一种用于设计空间压缩逻辑(140)的方法,该压缩逻辑用于测试具有多个数字输出端(122,210)的集成电路(100,200),所述空间压缩逻辑(140)包括:
空间压缩网络(160),其包括具有m个输出端的多个压缩域,每个域均用于将多个测试结果压缩成其它测试结果;以及
传播网络(150),其包括在所述多个数字输出端(122,210)和所述空间压缩网络之间耦接的n个输入端,所述传播网络用于将来自所述数字输出端的每个测试结果复制到f个压缩域,f、n和m是正整数,n大于m,m大于f,所述方法包括:
产生(310)一组位向量,其中每个向量都包括m位,每位都指示了从所述传播网络的输入端到所述空间压缩网络的输出端的导电通道的存在,每个向量的导电通道的总数为f;并且
将来自所述位向量组的n个向量结合(320)至大小为n*m的矩阵中,从而在垂直于所述矢量方向的矩阵方向上使得所述导电通道的数目是有限的,所述矩阵代表了所述空间压缩逻辑设计。
8.如权利要求7所述的方法,其中,将来自所述位向量组的n个向量结合至大小为n*m的矩阵中从而在垂直于所述矢量方向的矩阵方向上使得所述导电通道的数目是有限的,这一步骤包括使得所述数目为最小。
9.一种测试仪器(220),其包括:
多个输入端(222),用于连接至集成电路(200)的多个数字输出端(210),其中每个数字输出端均用于在所述集成电路的测试模式中提供测试结果;以及
空间压缩逻辑(140),其包括:
空间压缩网络(160),其具有多个压缩域(162),其中每个域均用于将多个测试结果压缩成其它测试结果;以及
传播网络(150),其被耦接在所述多个输入端(222)和所述空间压缩网络(160)之间,所述传播网络用于将来自所述数字输出端(210)的每个测试结果复制到多个压缩域(162)。
10.如权利要求9所述的测试仪器(220),其中每个压缩域(162)都包括异或或者同或逻辑门网络。
11.如权利要求9或10所述的测试仪器(220),其中每个压缩域(162)都耦接至输入端的唯一一组。
12.一种接口(280),其用于将具有多个数字输出端(210)的集成电路(200)耦接至测试仪器的多个输入端,以便对所述集成电路(200)进行测试,所述接口包括空间压缩逻辑(140),所述空间压缩逻辑包括:
空间压缩网络(160),其具有多个压缩域(162),其中每个域均用于将多个测试结果压缩成其它测试结果,每个域均包括用于将所述其它测试结果提供给所述测试仪器的输入端的输出端;以及
传播网络(150),其被耦接在所述多个数字输出端(210)和所述空间压缩网络(160)之间,所述传播网络(150)用于将来自所述数字输出端的每个测试结果复制到多个压缩域(162)。
13.如权利要求12所述的接口(280),其中每个压缩域(162)都包括异或或者同或逻辑门网络。
14.如权利要求12或13所述的接口(280),其中每个压缩域(162)都耦接至数字输出端(210)的唯一一组。
CN2006800423480A 2005-11-14 2006-10-23 集成电路装置以及实现方法 Expired - Fee Related CN101310191B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP05110725.8 2005-11-14
EP05110725 2005-11-14
PCT/IB2006/053895 WO2007054845A2 (en) 2005-11-14 2006-10-23 Integrated circuit arrangement and design method

Publications (2)

Publication Number Publication Date
CN101310191A true CN101310191A (zh) 2008-11-19
CN101310191B CN101310191B (zh) 2011-04-20

Family

ID=37946470

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800423480A Expired - Fee Related CN101310191B (zh) 2005-11-14 2006-10-23 集成电路装置以及实现方法

Country Status (8)

Country Link
US (1) US7945828B2 (zh)
EP (1) EP1952168B1 (zh)
JP (1) JP2009516164A (zh)
CN (1) CN101310191B (zh)
AT (1) ATE464572T1 (zh)
DE (1) DE602006013690D1 (zh)
TW (1) TW200736629A (zh)
WO (1) WO2007054845A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104122497A (zh) * 2014-08-11 2014-10-29 中国科学院自动化研究所 集成电路内建自测试所需测试向量的生成电路及方法
CN108872837A (zh) * 2018-08-28 2018-11-23 长鑫存储技术有限公司 数据压缩电路、存储器、集成电路测试装置及测试方法
CN111175635A (zh) * 2019-12-31 2020-05-19 中国电子产品可靠性与环境试验研究所((工业和信息化部电子第五研究所)(中国赛宝实验室)) 集成电路测试装置

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090150112A1 (en) * 2007-12-05 2009-06-11 Sun Microsystems, Inc. Scan method and system of testing chip having multiple cores
US9523736B2 (en) * 2014-06-19 2016-12-20 Nuvoton Technology Corporation Detection of fault injection attacks using high-fanout networks
TWI783555B (zh) * 2021-06-28 2022-11-11 瑞昱半導體股份有限公司 半導體裝置與測試脈衝訊號產生方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US7243110B2 (en) * 2004-02-20 2007-07-10 Sand Technology Inc. Searchable archive
US7308634B2 (en) * 2005-04-01 2007-12-11 Kabushiki Kaisha Toshiba Systems and methods for LBIST testing using multiple functional subphases

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104122497A (zh) * 2014-08-11 2014-10-29 中国科学院自动化研究所 集成电路内建自测试所需测试向量的生成电路及方法
CN104122497B (zh) * 2014-08-11 2016-09-21 中国科学院自动化研究所 集成电路内建自测试所需测试向量的生成电路及方法
CN108872837A (zh) * 2018-08-28 2018-11-23 长鑫存储技术有限公司 数据压缩电路、存储器、集成电路测试装置及测试方法
CN111175635A (zh) * 2019-12-31 2020-05-19 中国电子产品可靠性与环境试验研究所((工业和信息化部电子第五研究所)(中国赛宝实验室)) 集成电路测试装置
CN111175635B (zh) * 2019-12-31 2021-12-03 中国电子产品可靠性与环境试验研究所((工业和信息化部电子第五研究所)(中国赛宝实验室)) 集成电路测试装置

Also Published As

Publication number Publication date
EP1952168B1 (en) 2010-04-14
WO2007054845A2 (en) 2007-05-18
TW200736629A (en) 2007-10-01
US7945828B2 (en) 2011-05-17
EP1952168A2 (en) 2008-08-06
ATE464572T1 (de) 2010-04-15
US20090024893A1 (en) 2009-01-22
DE602006013690D1 (de) 2010-05-27
CN101310191B (zh) 2011-04-20
WO2007054845A3 (en) 2007-08-02
JP2009516164A (ja) 2009-04-16

Similar Documents

Publication Publication Date Title
US7343536B2 (en) Scan based automatic test pattern generation (ATPG) test circuit, test method using the test circuit, and scan chain reordering method
CN101310191B (zh) 集成电路装置以及实现方法
TWI279672B (en) Fault diagnosis method and circuit diagnosis by the same
Jha et al. Testing of digital systems
US4768196A (en) Programmable logic array
US7814383B2 (en) Compacting circuit responses
JP4791954B2 (ja) コンパクタを使用する試験応答の圧縮
US20120072879A1 (en) Method and Apparatus for Synthesis of Multimode X-Tolerant Compressor
US7146538B2 (en) Bus interface module
KR950020755A (ko) 일치 검출 회로를 갖고 있는 반도체 메모리 디바이스 및 테스트 방법
TW509798B (en) Apparatus and method for self testing programmable logic arrays
US7219287B1 (en) Automated fault diagnosis in a programmable device
US7818643B2 (en) Method for blocking unknown values in output response of scan test patterns for testing circuits
Idris et al. A VHDL implementation of BIST technique in UART design
US5425035A (en) Enhanced data analyzer for use in bist circuitry
EP1946132B1 (en) Integrated circuit test method and test apparatus
Guo et al. Detection and diagnosis of static scan cell internal defect
Ruan et al. A bitstream readback-based automatic functional test and diagnosis method for Xilinx FPGAs
Rahaman et al. Easily testable realization of GRM and ESOP networks for detecting stuck-at and bridging faults
Pomeranz et al. Diagnostic test generation based on subsets of faults
Yogi et al. Spectral rtl test generation for microprocessors
US7096138B2 (en) Method for demonstrating the dependence of a signal based on another signal
Ubar et al. Embedded fault diagnosis in digital systems with BIST
US20040098646A1 (en) Method and apparatus to check the integrity of scan chain connectivity by traversing the test logic of the device
Athanasopoulou et al. Aliasing probability calculations in testing sequential circuits

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20131023