CN101290873B - 中空阳极等离子体反应器与方法 - Google Patents

中空阳极等离子体反应器与方法 Download PDF

Info

Publication number
CN101290873B
CN101290873B CN2008100995866A CN200810099586A CN101290873B CN 101290873 B CN101290873 B CN 101290873B CN 2008100995866 A CN2008100995866 A CN 2008100995866A CN 200810099586 A CN200810099586 A CN 200810099586A CN 101290873 B CN101290873 B CN 101290873B
Authority
CN
China
Prior art keywords
space
electrode
plasma
target space
shielding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2008100995866A
Other languages
English (en)
Other versions
CN101290873A (zh
Inventor
大卫·W·本辛
巴巴克·卡德霍达扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101290873A publication Critical patent/CN101290873A/zh
Application granted granted Critical
Publication of CN101290873B publication Critical patent/CN101290873B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

等离子体处理设备包括等离子体室、第一电极、第二电极与等离子体容器设备。等离子体容器设备具有多个槽并且电连接到第一电极。容器设备用于将等离子体限制在中间电极空间内,并且有利于最大程度的处理气体流动。当在中间电极空间内通过将电场应用于处理气体而产生等离子体时,容器设备将等离子体电子地限制在中间电极空间而没有显著的限制来自中间电极空间的气体的流动。

Description

中空阳极等离子体反应器与方法
本发明是申请日为2002年5月14日、申请号为02812094.9的相同发明名称的专利申请的分案申请。所述专利申请02812094.9已被授予专利权。
技术领域
本发明涉及集成电路的制造,并且具体涉及清除来自表面的材料的设备与方法。
背景技术
干刻(dry etch)处理可用于半导体晶片处理以清除来自晶片表面的材料,或通过暴露到等离子体,清除来自晶片上积淀的膜的材料。等离子体是电中性,部分离子化状态的材料。蚀刻反应器不但生产等离子体,而且对出现在晶片或膜上的化学或物理反应提供一定程度的控制。通过蚀刻处理,材料从蚀刻区域中晶片或膜的表面被清除以形成部分限定电路元件的轮廊或维数。
在已知的等离子体反应器中,等离子体以接近晶片的空间产生,并且等离子体扩展以充满大部分或全部的反应室空间。等离子体与等离子接触的全部表面相互作用。在接近晶片空间的外面,等离子壁相互作用能够产生不需要的结果,比如壁材料的喷镀,或更通常地在壁上或靠近壁的积淀。当壁的积淀的厚度随着持续处理而增加时,壁的积淀能够剥落而引起粒子污染物。另外,因为壁的积淀与壁本身相比较具有不同的电子与化学性质,所以该积淀能够改变等离子体如何与壁进行相互作用,并且经过一段时间能够导致等离子体性质的变化。因此,壁的积淀必须周期性的清除。就地进行等离子体清除是优选的,但由于一些等离子壁相互作用的低能量,通常是困难的或很慢。这样,通常需要反应器的手工清除,其增加了操作成本并减少了系统处理量。
图1示出了现有技术的等离子反应器的剖视侧面图。该设备使用形成了反应器或室100的室壳体110。第一电极112放置在壳体110的顶部内。如图所示,第一电极112与壳体110电连接到地134。第二电极114放置在壳体110的下部内,相对并平行于上部电极112。第二电极114通过绝缘环116与壳体110电气隔离。被蚀刻的基底或晶片118放置在第二电极114的内表面上,其通常配置有夹紧设备和/或冷却设备。晶片118被由诸如石英之类的绝缘材料制造的薄板120围绕。
蚀刻剂气体通过蚀刻剂气体供给122与供给线124提供到反应器100。供给线124通过第一电极112经过开口连接到反应器100以将蚀刻剂气体传递到反应器100的内部。减少的压力通过真空泵128保持在反应器100内,该真空泵128通过真空管126连接到反应器100。无线电频率(RF)功率通过RF电源130与阻抗配合网络132提供到第二电极114。
反应器100内蚀刻剂气体的适当减少的压力以及将适当的RF功率应用到第二电极114,等离子体在第一电极112与第二电极114之间的中间电极空间146内形成,并且扩展到第一与第二电极112与114外面的空间142。在空间142内的等离子气体能够与室壳体110的暴露的内部壁144相互作用。
其它设备已试图限制靠近晶片118的等离子体。一些已知设备采用两个或多个环形圈150,其直接靠近类似于图2所示的两个平行圆盘电极之间的中间电极空间146。多个环形圈150加入到图1的反应器100,所述环形圈150充满上面的电极112与下面的电极114之间靠近它们的外围的空间。环形圈150由诸如石英之类的非导电材料制造,并且环形圈150在它们之间具有小开口152。开口152允许气体从中间电极空间146流动到外部空间148,并且然后流动到真空泵128。该开口152足够的窄,并且环形圈150的宽度足够宽,使得通过小开口152,存在气流传导的显著损失。此气流传导损失引起中间电极空间146与外部空间148之间的压力差。由于窄的开口152与存在于外部空间148中的很低的压力,因此在中间电极空间146内引起的等离子体限制在中间电极空间146内。
对等离子体限制的上述方法会遭受限定的处理窗口。在较低的等离子体操作压力下,通常小于60毫托(millitorr),环形圈150的功效通常不能建立有益的压降。此外,在其中限制等离子体的例子中,由环形圈150引起的低气流传导限定可被采用的气流比率。
如果等离子体能够被限制到接近晶片的空间,则得到一些优点,包括增强的处理稳定性和重复性、以及减少的系统维护。因此,需要一种设备与一种方法,其将等离子体限制在接近晶片的空间,并且没有显著地限制该设备与方法的气流比率和/或压力。
附图说明
在图中,相同的参考数字指示整个图的对应的部件。
图1是现有技术的反应器的剖视侧面图。
图2是第二现有技术的反应器的剖视侧面图。
图3是当前优选实施例的剖视侧面图。
图4是沿着图3的线2-2的剖视俯视图。
图5是图3的流程框图。
图6是可选的当前优选实施例的剖视侧面图。
图7是第二可选的当前优选实施例的剖视侧面图。
图8是第三可选的当前优选实施例的剖视侧面图。
图9是沿着图8的线A-A的剖视俯视图。
图10是沿着图8的可选的当前优选实施例的线A-A的剖视俯视图。
具体实施方式
描述如下的本发明的设备与方法的当前优选实施例将等离子体限制在接近晶片的空间,并且最少化与等离子体相互作用的表面区域。当前优选实施例还提供了在中间电极空间外面对气体流动的高传导。当前优选的设备与方法利用限制方法,该方法实质上将电场限制在室的等离子体区域。当前优选的设备与方法可以是许多等离子体处理系统的整体部分或集成在许多等离子体处理系统内。当前优选的设备与方法实质上最小化等离子壁的相互作用、减少系统维护、改善处理的稳定性以及减少系统到系统的改变。
参照图3与图4,该设备优选地采用形成了反应器或室200的室壳体202。第一电极210放置在室壳体202的顶部内。在一个当前优选实施例中,第一电极210能够具有圆盘形并由硅(Si)或碳化硅(SiC)制造,优选地具有小于1欧姆/厘米(ohm/cm)的电阻率。第一电极210与室壳体202电连接到地254。第二电极220放置在室壳体202的下部内,相对并实质上平行于第一电极210。第二电极220优选地具有圆盘形。在可选地优选实施例中,第一电极210与第二电极220能够采取许多其它形状,并由许多其它材料制造。第一电极210与第二电极220之间的分离能够优选地手工或自动调节。第二电极220通过绝缘环230优选地与室壳体202电隔离,该绝缘环230由诸如石英(SiO2)或三氧化二铝(Al2O3)之类的非导电固体材料制造。被蚀刻的基底或晶片232在第二电极220的内部面或表面上支持,该第二电极220优选地配置有将晶片232夹到内部表面的装置以及用于控制晶片232的温度的装置。用于夹住与控制温度的此装置能够包括,但不限于,静电夹与液冷的第二电极220,共同地与放置在晶片232与第二电极220之间的氦(He)气一起使用,以提高晶片232与第二电极220之间的热电导系数。由诸如石英(SiO2)之类的绝缘材料制造的聚焦环234优选地包围晶片232。在可选地优选实施例中,聚焦环234可包括两个实质上同心与接近的环、由硅(Si)或碳化硅(SiC)制造的内部环以及由石英(SiO2)制造的外部环。在其它可选地优选实施例中,聚焦环234能够具有许多其它形状并能够由许多其它材料制造。
蚀刻剂气体通过蚀刻剂气体供给240与供给线242提供到反应器200。供给线242通过第一电极210经过一个或多个开口优选地连接到室200,以致蚀刻剂气体均匀地分散在中间电极空间260内。气体从室200排出,并且真空压力被真空泵246维持。真空泵246通过真空管244优选地连接到反应器。无线电频率(RF)功率通过阻抗配合网络252,由连接到第二电极220的RF电源250优选地提供到第二电极220。
第一电极210的外部边缘优选地向下凸出,并且围绕中间电极空间260形成圆柱壁或“屏蔽”212。屏蔽212优选地具有底面部分262,其实质上相邻于聚焦环234的一面或室200的上缘208。屏蔽212的底面部分262与室200的上缘208进行电连接。当相比于从RF电源250通过室200的壁204到地254地传导路径,电连接优选地建立从RF电源250通过室200的壁204到地254的可选与更短的RF导电路径。该屏蔽212最小化包围在外部室空间206内的电场与磁场强度并且改善等离子体限制。
屏蔽212优选地配置多个孔或实质上垂直的槽214,所述槽214完全穿过或通过屏蔽212,并且使中间电极空间260内的蚀刻剂气体能够排出。实质上垂直的槽214优选地垂直定向并且宽度大约为0.8到3.0毫米。在可选的优选实施例中,实质上垂直的槽214可采取许多其它形状并具有许多其它宽度。
实质上垂直的槽214的数目、形状与尺寸和屏蔽212的厚度优选地被选择以得到需要的气流电导系数或在中间电极空间260内的气体停留时间,然而,使等离子体受到限制。在此优选实施例中,实质上垂直的槽214包括180个实质上垂直的槽,大约2.5毫米宽,大约为20毫米中间电极开口的全长。屏蔽212的壁的厚度大约为6毫米。在其它优选实施例中,开口的数目、形状与尺寸能够改变,象屏蔽212的厚度能够改变一样。
在中间电极空间260内的蚀刻剂气体的适当压力水平处和依据对第二电极220的适当RF功率的应用,等离子体在中间电极空间260内形成。等离子体被屏蔽212优选地限制,并且等离子体表面的相互作用被限制在相对小与明确限定的区域。在能够蚀刻大约200毫米晶片的示例性实施例中,屏蔽212优选地具有在大约14到大约25毫米范围内的高度。屏蔽212的内部直径(ID)大约为220毫米,并且屏蔽212的外部直径(OD)大约为235毫米。实质上垂直的槽214具有大约2.0毫米的宽度以及大概每2.0度分开的大约12到大约24毫米的长度。在这些示例性实施例中,第一电极210与单一的屏蔽212包括硅(Si)或碳化硅(SiC)。而且,使用了结合3000Watt 2MHz RF电源的3000Watt 27MHz RF电源。在其它优选实施例中,包括可蚀刻大约200毫米和/或大约300毫米晶片的这些实施例,能够改变:实质上垂直的槽的宽度、直径与尺寸以及用于制造屏蔽212的材料。而且,也能够改变频率与RF功率电平。
在操作中,如图5所述的步骤502处,晶片232放置在内部表面或第二电极220的面上。在步骤504处,室200被排空。用于夹住的装置,比如晶片夹住环或静电荷,将晶片232固定到第二电极220。在步骤506处,通过分配源240提供处理气体。处理气体通过气体供给线242与诸如喷头之类的分配设备进入中间电极空间260。通过控制处理气体引入的比率和/或气体去除的比率,得到中间电极空间260中可选的压力。诸如机械真空泵(涡轮泵)之类的泵通过排气口与真空管244从中间电极空间260去除处理气体。
RF功率优选地应用到第二电极220,其在中间电极空间260内创建高能电场并且在步骤508处产生等离子体。此后,在步骤510处,等离子体与晶片232的暴露的表面进行反应。应当注意:图5中示出的操作可重新排列和/或在示出的步骤以前或以后可包括额外的操作。
优选地,屏蔽212实质上终止形成在中间电极空间260内的电场,这防止了电场从外部室空间206穿过。在屏蔽212内实质上垂直的槽214使处理气体能够在中间电极空间260与真空管244之间以最小的压力损失来流动,以在低处理压力处得到高气体流动比率。
在一些示例性实施例中,屏蔽212有效地修改晶片232附近的电场,并且修改处理。在一些氧化物蚀刻应用中,例如,屏蔽212在晶片232的外缘增加蚀刻比率。此优选实施例的一个优点在于:能够得到经过晶片232的改善的蚀刻比率均匀性。
设定屏蔽212实质上终止具有有限的阻止气体流动的靠近中间电极空间260的外围的电场,屏蔽212包含得到该功能的任何结构。因此,屏蔽212不限于仅具有实质上垂直的槽214的容器结构。在可选的优选实施例中,屏蔽212包括实质上水平的槽,其在一些例子中实质上平行于第一电极210与第二电极220的面或内表面。屏蔽212也能够包括穿孔、开口和/或任何其它合适的排列以及均匀或非均匀截面的孔、槽、开口、轨道等的结合,使处理气体能够从中间电极空间260到真空管244流动。屏蔽212优选地得到最大的气体电导系数。而且,由于屏蔽212在接地电位处,因此第一电极210的尺寸能够下降而实质上没有改变在中间电极空间260内形成的等离子体的电状态。
通过以上描述,显而易见的是:屏蔽212可以是第一电极210的一体化部分、或分离但电连接到第一电极210。屏蔽212优选地可移动,表明即使当设备与方法在操作时,屏蔽212也能够相对于第二电极220手工或自动地提高或降低。如图6所示,屏蔽212物理地与第一电极210分离,并且机械与电气连接到板217。三个或更多起模针218平均地连接到板217,并且促进屏蔽212的提高与降低。六个或更多柔性导电带219优选地提供板217与室200之间的电连接。在优选实施例中,当屏蔽212移动到最低的位置时,屏蔽212的低表面216与室200的上缘208进行机械与电气接触。当与包括室200的壁204的从RF电源250到地254的RF返回路径比较时,这些接触创建从RF电源250到地254可选与更短的RF返回路径。连接到地254的更短的传导路径最小化外部室空间中的电场与磁场强度,并且改善等离子体限制。
如图7所示,屏蔽212还能够物理地与第一电极210分离,并且机械与电气连接到靠近第二电极220的室200的下半部。屏蔽212的底面216优选地机械与电气连接到导电环213。导电环213优选地机械与电气连接到室200的上缘208。
图8描述了结合两个独立RF功率电极密封在等离子体反应器内的屏蔽450的另一种可选地优选实施例。这种反应器能够称为“三极管”(2个RF功率电极与1个接地表面)。参照图8、图9与图10,三极管反应器400包括室402、上面的电极410与下面的电极420。室402优选地电气连接到电气接地448。上面的电极410通过上面绝缘环414优选地与室402电隔离。上面的电极410优选地具有机械与电气连接到室402内部表面的硅(Si)、碳化硅(SiC)或其它合适材料的板412。上面的电极410通过阻抗配合网络446连接到RF电源444。
下面的电极420通过下面的绝缘环422优选地与室402电隔离,并且优选地结合用于机械或电气保持并冷却描述如上放置在下面的电极420内部表面上的基底或晶片424的装置。包括电绝缘材料的聚焦环426优选地位于晶片424周围。RF功率通过RF电源440与阻抗配合网络442提供到下面的电极420。
蚀刻剂气体依靠蚀刻剂气体供给430与供给线432提供到反应器400。该供给线432通过经过上面的电极410的一个或多个开口优选地连接到反应器400,从而蚀刻剂气体均匀地传递到中间电极空间460。气体从反应器400排出,并且通过真空泵434,在室402内维持真空水平,所述真空泵434通过真空管436连接到反应器400。
屏蔽450优选地放置在下面的电极420周围的环形空间中,以致屏蔽450在中间电极空间460与放置在室402的下半部内的空间404之间形成屏蔽。屏蔽450优选地机械与电气连接屏蔽450周围的内部与外部圆周处的室402。屏蔽450优选地包括多个槽或孔452,其中处理气体可容易地经过这些槽或孔,但槽或孔452的尺寸足够有效地终止在等离子体空间460内形成的全部电场,以致实质上在室402的下面的部分404中不存在电场。这些槽452的宽度优选地为大约0.8到大约3.0毫米,并且屏蔽450的厚度为大约6到大约12毫米。槽452的方向可以径向、切向或任何其它合适的方向延伸。
如图9与10所示,屏蔽450的相应的径向与切向地延伸槽分别对准覆盖板454的径向与切向地延伸槽456。如图9与10所示,尽管可选地优选实施例中,屏蔽450与覆盖板454能够为分离的组件,但屏蔽450也能够是覆盖板454的一体化部分。覆盖板454的槽456优选地对准屏蔽450的槽452。覆盖板454优选地由硅(Si)、碳化硅(SiC)或其它合适的材料制造。
上述详细的说明仅描述了本发明能够采用的许多形式的一些,并且因此,上述详细的说明应该被作为示例性的而非限定性的。仅下列的权利要求并包括全部的等价物目的是限定本发明的范围。

Claims (21)

1.一种等离子体约束系统,包括:
电气接地;
与所述电气接地连接的等离子体室;
与所述等离子体室连接的真空泵;
与所述等离子体室连接的处理气体源;
第一电极,具有面对所述等离子体室的内部的表面;
第二电极,具有面对所述等离子体室的所述内部的表面,所述表面邻近并基本平行于所述第一电极;
设置在所述第一和第二电极之间的室壳体空间;
靠近所述室壳体空间设置的第二空间,其中所述室壳体空间和所述第二空间通过与所述室壳体空间和所述第二空间均邻近的一侧分隔开;以及
包括所述一侧的容器设备,所述容器设备基本上密封所述室壳体空间并与所述电气接地电气连接,所述一侧包括至少一个允许气体在所述室壳体空间和第二空间之间流动的轨道,所述至少一个轨道用来保持所述室壳体空间和第二空间中的至少一个的压力水平。
2.如权利要求1所述的等离子体约束系统,其中所述至少一个轨道用来使所述室壳体空间和第二空间之间的压力损失最小。
3.如权利要求2所述的等离子体约束系统,其中所述第二空间包括通过真空管与所述真空泵连接的外部室空间,所述真空泵用来从所述等离子约束系统中去除气体。
4.如权利要求1所述的等离子体约束系统,其中所述容器设备包括将电场基本上限定在所述室壳体空间的导电部分。
5.如权利要求1所述的等离子体约束系统,还包括用来将处理气体从所述处理气体源引导至所述室壳体空间的供给线。
6.如权利要求1所述的等离子体约束系统,其中所述至少一个轨道用来均衡所述室壳体空间和第二空间之间的压力。
7.一种等离子体室系统,包括:
处理气体源;
从所述处理气体源接收处理气体的屏蔽;
设置在所述屏蔽内的中间电极空间;
第一电极,具有面对所述中间电极空间的内部的表面
第二电极,具有面对所述中间电极空间的所述内部的表面,所述表面邻近并基本平行于所述第一电极,其中所述中间电极空间设置在所述第一电极和第二电极之间;
与所述中间电极空间邻接的第二空间;以及
在所述屏蔽内、允许气体在所述中间电极空间和第二空间之间流动的至少一个轨道,所述至少一个轨道用来使所述中间电极空间和第二空间之间的压力损失最小。
8.如权利要求7所述的系统,其中所述屏蔽基本上终止了在所述中间电极空间内形成的电场,以防止电场穿过所述第二空间。
9.如权利要求7所述的系统,其中所述屏蔽包括将电场基本上限定在所述中间电极空间的导电部分。
10.如权利要求7所述的系统,其中所述屏蔽由所述第一电极的至少一部分来形成。
11.如权利要求10所述的系统,其中所述第一电极伸入所述中间电极空间。
12.如权利要求7所述的系统,其中所述第二空间包括外部电极空间。
13.如权利要求7所述的系统,还包括去除处理气体的真空泵。
14.如权利要求13所述的系统,还包括连接所述真空泵至所述第二空间的真空管。
15.一种等离子体室设备,包括:
气体源;
第一电极;
邻近并基本上平行于所述第一电极的第二电极;
中间电极空间,位于所述第一电极的内表面和所述第二电极的内表面之间,从所述气体源接收气体;
与所述中间电极空间分隔开但与所述中间电极空间连接的第二空间;
使所述中间电极空间与所述第二空间分隔开的壁,其中所述中间电极空间在所述壁处邻接所述第二空间;
至少一个穿过所述壁的轨道,所述轨道允许气体在所述中间电极空间和第二空间之间流动,所述至少一个轨道用来保持所述中间电极空间或所述第二空间处的压力水平。
16.如权利要求15所述的等离子体室设备,其中所述包括至少一个轨道的壁基本上终止了在所述中间电极空间内形成的电场,防止电场穿过所述第二空间。
17.如权利要求15所述的等离子体室设备,其中所述壁包括围绕所述中间电极空间凸出的第一电极的外缘。
18.如权利要求15所述的等离子体室设备,其中所述壁包括至少部分地密封所述中间电极空间的屏蔽。
19.如权利要求15所述的等离子体室设备,其中所述第二空间包括外部电极空间。
20.如权利要求19所述的等离子体室设备,还包括通过真空管与所述外部电极空间连接的真空泵,所述真空泵用来从所述等离子体设备中去除气体。
21.如权利要求15所述的等离子体室设备,其中所述壁包括在所述中间电极空间和第二空间之间的分隔物。
CN2008100995866A 2001-05-16 2002-05-14 中空阳极等离子体反应器与方法 Expired - Lifetime CN101290873B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/859,091 2001-05-16
FR09/859,091 2001-05-16
US09/859,091 US6974523B2 (en) 2001-05-16 2001-05-16 Hollow anode plasma reactor and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB028120949A Division CN100401450C (zh) 2001-05-16 2002-05-14 中空阳极等离子体反应器与方法

Publications (2)

Publication Number Publication Date
CN101290873A CN101290873A (zh) 2008-10-22
CN101290873B true CN101290873B (zh) 2010-12-08

Family

ID=25330001

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB028120949A Expired - Lifetime CN100401450C (zh) 2001-05-16 2002-05-14 中空阳极等离子体反应器与方法
CN2008100995866A Expired - Lifetime CN101290873B (zh) 2001-05-16 2002-05-14 中空阳极等离子体反应器与方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB028120949A Expired - Lifetime CN100401450C (zh) 2001-05-16 2002-05-14 中空阳极等离子体反应器与方法

Country Status (4)

Country Link
US (3) US6974523B2 (zh)
CN (2) CN100401450C (zh)
TW (1) TWI283425B (zh)
WO (1) WO2002093616A1 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2005055298A1 (ja) * 2003-12-03 2007-08-23 東京エレクトロン株式会社 プラズマ処理装置及びマルチチャンバシステム
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
DE102005040266A1 (de) * 2005-08-24 2007-03-01 Schott Ag Verfahren und Vorrichtung zur innenseitigen Plasmabehandlung von Hohlkörpern
US20070170995A1 (en) * 2006-01-20 2007-07-26 Dutton David T Plasma generating devices and methods for using the same
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8647438B2 (en) 2007-04-27 2014-02-11 Applied Materials, Inc. Annular baffle
KR100927375B1 (ko) * 2007-09-04 2009-11-19 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기 조절 방법, 상기 배기 유닛을 포함하는 기판 처리 장치
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
WO2011026129A2 (en) * 2009-08-31 2011-03-03 Lam Research Corporation Radio frequency (rf) ground return arrangements
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
KR102045942B1 (ko) 2011-05-31 2019-11-18 어플라이드 머티어리얼스, 인코포레이티드 에지, 측면 및 후면 보호를 갖는 건식 식각을 위한 장치 및 방법들
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
CN103295867B (zh) * 2012-02-29 2016-12-28 细美事有限公司 等离子体边界限制器单元和用于处理基板的设备
EP2654070A1 (fr) 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
CN104733275B (zh) * 2013-12-19 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体工艺设备
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102449621B1 (ko) * 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
USD931241S1 (en) 2019-08-28 2021-09-21 Applied Materials, Inc. Lower shield for a substrate processing chamber
US20210066051A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance lower shield for process chamber
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5647912A (en) * 1995-03-31 1997-07-15 Nec Corporation Plasma processing apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5099100A (en) * 1974-08-16 1992-03-24 Branson International Plasma Corporation Plasma etching device and process
JPS59207620A (ja) 1983-05-10 1984-11-24 Zenko Hirose アモルフアスシリコン成膜装置
DE3835153A1 (de) 1988-10-15 1990-04-26 Leybold Ag Vorrichtung zum aetzen von substraten durch eine glimmentladung
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
JPH0423429A (ja) * 1990-05-18 1992-01-27 Mitsubishi Electric Corp 半導体装置のプラズマ処理装置及びプラズマ処理方法
JPH05251394A (ja) * 1992-03-05 1993-09-28 Mitsubishi Electric Corp 半導体製造装置
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
JPH08339895A (ja) 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
JP3192351B2 (ja) 1995-06-16 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3002448B1 (ja) 1998-07-31 2000-01-24 国際電気株式会社 基板処理装置
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5647912A (en) * 1995-03-31 1997-07-15 Nec Corporation Plasma processing apparatus

Also Published As

Publication number Publication date
US8465620B2 (en) 2013-06-18
US20060027328A1 (en) 2006-02-09
CN1516887A (zh) 2004-07-28
CN100401450C (zh) 2008-07-09
CN101290873A (zh) 2008-10-22
TWI283425B (en) 2007-07-01
US20080271849A1 (en) 2008-11-06
US6974523B2 (en) 2005-12-13
WO2002093616A1 (en) 2002-11-21
US20020170881A1 (en) 2002-11-21

Similar Documents

Publication Publication Date Title
CN101290873B (zh) 中空阳极等离子体反应器与方法
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
KR100857747B1 (ko) 바람직한 rf 복귀 경로를 사용한 플라즈마 컨파인먼트
US7585384B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
EP1446825B1 (en) Apparatus and method for improving etch rate uniformity
WO2006135909A1 (en) Confined plasma with adjustable electrode area ratio
CN101557885A (zh) 具有多个电容性和电感性电源的等离子处理反应器
CN111354672B (zh) 静电卡盘及等离子体加工装置
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
EP0047395A2 (en) System for reactive ion etching
US8980046B2 (en) Semiconductor processing system with source for decoupled ion and radical control
CN114342038A (zh) 用于工艺腔室的高导通内部屏蔽物
KR100884414B1 (ko) 애노드형 플라즈마 반응기 및 방법
KR100686284B1 (ko) 상부 전극 유닛 및 이를 이용한 플라즈마 처리 장치
JPS62271432A (ja) ドライエツチング装置
JP2001185540A (ja) ポリマー引込み型プラズマ閉込め反応容器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20101208

CX01 Expiry of patent term