CA2145158A1 - Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto - Google Patents

Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto

Info

Publication number
CA2145158A1
CA2145158A1 CA002145158A CA2145158A CA2145158A1 CA 2145158 A1 CA2145158 A1 CA 2145158A1 CA 002145158 A CA002145158 A CA 002145158A CA 2145158 A CA2145158 A CA 2145158A CA 2145158 A1 CA2145158 A1 CA 2145158A1
Authority
CA
Canada
Prior art keywords
data
token
stage
signal
picture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002145158A
Other languages
French (fr)
Inventor
Adrian Philip Wise
Martin Willliam Sotheran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Discovision Associates
Original Assignee
Discovision Associates
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GB9405914A external-priority patent/GB9405914D0/en
Application filed by Discovision Associates filed Critical Discovision Associates
Publication of CA2145158A1 publication Critical patent/CA2145158A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0207Addressing or allocation; Relocation with multidimensional access, e.g. row/column, matrix
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/04Addressing variable-length words or parts of words
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1673Details of memory controller using buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/42Methods or arrangements for coding, decoding, compressing or decompressing digital video signals characterised by implementation details or hardware specially adapted for video compression or decompression, e.g. dedicated software implementation
    • H04N19/423Methods or arrangements for coding, decoding, compressing or decompressing digital video signals characterised by implementation details or hardware specially adapted for video compression or decompression, e.g. dedicated software implementation characterised by memory arrangements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/60Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using transform coding
    • H04N19/61Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using transform coding in combination with predictive coding
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/102Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the element, parameter or selection affected or controlled by the adaptive coding
    • H04N19/13Adaptive entropy coding, e.g. adaptive variable length coding [AVLC] or context adaptive binary arithmetic coding [CABAC]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/90Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using coding techniques not provided for in groups H04N19/10-H04N19/85, e.g. fractals
    • H04N19/91Entropy coding, e.g. variable length coding [VLC] or arithmetic coding

Abstract

A multi-standard video decompression apparatus has a plurality of stages interconnected by a two-wire interface arranged as a pipeline processing machine. Control tokens and DATA Tokens pass over the single two-wire interface for carrying both control and data in token format. A
token decode circuit is positioned in certain of the stages for recognizing certain of the tokens as control tokens pertinent to that stage and for passing unrecognized control tokens along the pipeline.
Reconfiguration processing circuits are positioned in selected stages and are responsive to a recognized control token for reconfiguring such stage to handle an identified DATA Token. A wide variety of unique supporting subsystem circuitry and processing techniques are disclosed for implementing the system.

Description

DEMANDES OU BREVETS VOLUMINEUX

LA PRÉSENTE PARTIE DE ~ t DEMANDE OU CE BREVET
COMPREND PLUS D'UN TOME.

CECI EST LE TOME DE

NOTE: Pour les tomes additionels, veuillez contacter le Bureau canadien des brevets 2. ~ 8 JUMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLlCATlONliATENT CONTAINS MORE
THAN ONE VOLUME

NOTE: For additional v~lumes please c~ntact the Canadian Patent Office 21~5158 PICTURE START TOKEN
This application claims priority from British Application No. British Application No. 9405914.4 filed March 24, 1994 and British Application No. (not yet known) filed February 28, 1995.
R~K~ROUND OF THE lNV~ llON
The present invention is directed to improvements in methods and apparatus for decompression which operates to decompress and/or decode a plurality of differently encoded input signals. The illustrative embodiment chosen for description hereinafter relates to the decoding of a plurality of encoded picture standards. More specifically, this embodiment relates to the decoding of any one of the well known standards known as JPEG, MPEG and H.261.
A serial pipeline processing system of the present invention comprises a single two-wire bus used for carrying unique and specialized interactive interfacing tokens, in the form of control tokens and data tokens, to a plurality of adaptive decompression circuits and the like positioned as a reconfigurable pipeline processor.
Video compression/decompression systems are generally well-known in the art. However, such systems have generally been dedicated in design and use to a single compression standard.
They have also suffered from a number of other inefficiencies and inflexibility in overall system and subsystem design and data flow management.
Examples of prior art systems and subsystems are enumerated as follows:
One prior art system is described in United States Patent No. 5,216,724. The apparatus comprises a plurality of compute modules, in a preferred embodiment, for a total of four compute modules coupled in parallel. Each of the compute modules has a processor, dual port memory, scratch-pad memory, and an arbitration mechanism. A first bus couples the compute modules and a host processor. The device comprises a shared memory which is coupled to the host S processor and to the compute modules with a second bus.
United States Patent No. 4,785,349 discloses a full motion color digital video signal that is compressed, formatted for transmission, recorded on compact disc media and decoded at conventional video frame rates. During compression, regions of a frame are individually analyzed to select optimum fill coding methods specific to each region.
Region decoding time estimates are made to optimize compression thresholds. Region descriptive codes conveying the size and locations of the regions are grouped together in a first segment of a data stream. Region fill codes conveying pixel amplitude indications for the regions are grouped together according to fill code type and placed in other segments of the data stream. The data stream segments are individually variable length coded according to their respective statistical distributions and formatted to form data frames. The number of bytes per frame is withered by the addition of auxiliary data determined by a reverse frame sequence analysis to provide an average number selected to minimize pauses of the compact disc during playback, thereby avoiding unpredictable seek mode latency periods characteristic of compact discs. A decoder includes a variable length decoder responsive to statistical information in the code stream for separately variable length decoding individual segments of the data stream. Region location data is derived from region descriptive data and applied with region fill codes to a plurality of region specific decoders selected by detection of the fill code type (e.g., relative, absolute, dyad and DPCM) and decoded region pixels are stored in a bit map for subsequent display.

United States Patent No. 4,922,341 discloses a method for scene-model-assisted reduction of image data for digital television signals, whereby a picture signal supplied at time is to be coded, whereby a predecessor frame from a scene already coded at time t-1 is present in an image store as a reference, and whereby the frame-to-frame information is composed of an amplification factor, a shift factor, and an adaptively acquired quad-tree division structure. Upon initialization of the system, a uniform, prescribed gray scale value or picture half-tone expressed as a defined luminance value is written into the image store of a coder at the transmitter and in the image store of a decoder at the receiver store, in the same way for all picture elements (pixels). Both the image store in the coder as well as the image store in the decoder are each operated with feed back to themselves in a manner such that the content of the image store in the coder and decoder can be read out in blocks of variable size, can be amplified with a factor greater than or less than 1 of the luminance and can be written back into the image store with shifted addresses, whereby the blocks of variable size are organized according to a known quad tree data structure.
United States Patent No. 5,122,875 discloses an apparatus for encoding/decoding an HDTV signal. The apparatus includes a compression circuit responsive to high definition video source signals for providing hierarchically layered codewords CW representing compressed video data and associated codewords T, defining the types of data represented by the codewords CW. A priority selection circuit, responsive to the codewords CW and T, parses the codewords CW into high and low priority codeword sequences wherein the high and low priority codeword sequences correspond to compressed video data of relatively greater and lesser importance to image reproduction respectively. A

21~5158 -transport processor, responsive to the high and low priority codeword sequences, forms high and low priority transport blocks of high and low priority codewords, respectively.
Each transport block includes a header, codewords CW and error detection check bits. The respective transport blocks are applied to a forward error check circuit for applying additional error check data. Thereafter, the high and low priority data are applied to a modem wherein quadrature amplitude modulates respective carriers for transmission.
United States Patent No. 5,146,325 discloses a video decompression system for decompressing compressed image data wherein odd and even fields of the video signal are independently compressed in sequences of intraframe and interframe compression modes and then interleaved for transmission. The odd and even fields are independently decompressed. During intervals when valid decompressed odd/even field data is not available, even/odd field data is substituted for the unavailable odd/even field data.
Independently decompressing the even and odd fields of data and substituting the opposite field of data for unavailable data may be used to advantage to reduce image display latency during system start-up and channel changes.
United States Patent No. 5,168,356 discloses a video signal encoding system that includes apparatus for segmenting encoded video data into transport blocks for signal transmission. The transport block format enhances signal recovery at the receiver by virtue of providing header data from which a receiver can determine re-entry points into the data stream on the occurrence of a loss or corruption of transmitted data. The re-entry points are maximized by providing secondary transport headers embedded within encoded video data in respective transport blocks.
United States Patent No. 5,168,375 discloses a method for processing a field of image data samples to provide for 21~5158 one or more of the functions of decimation, interpolation, and sharpening. This is accomplished by an array transform processor such as that employed in a JPEG compression system.
Blocks of data samples are transformed by the discrete even cosine transform (DECT) in both the decimation and interpolation processes, after which the number of frequency terms is altered. In the case of decimation, the number of frequency terms is reduced, this being followed by inverse transformation to produce a reduced-size matrix of sample points representing the original block of data. In the case of interpolation, additional frequency components of zero value are inserted into the array of frequency components after which inverse transformation produces an enlarged data sampling set without an increase in spectral bandwidth. In the case of sharpening, accomplished by a convolution or filtering operation involving multiplication of transforms of data and filter kernel in the frequency domain, there is provided an inverse transformation resulting in a set of blocks of processed data samples. The blocks are overlapped followed by a savings of designated samples, and a discarding of excess samples from regions of overlap. The spatial representation of the kernel is modified by reduction of the number of components, for a linear-phase filter, and zero-padded to equal the number of samples of a data block, this 2S being followed by forming the discrete odd cosine transform (DOCT) of the padded kernel matrix.
United States Patent No. 5,175,617 discloses a system and method for transmitting logmap video images through telephone line band-limited analog channels. The pixel organization in the logmap image is designed to match the sensor geometry of the human eye with a greater concentration of pixels at the center. The transmitter divides the frequency band into channels, and assigns one or two pixels to each channel, for example a 3KHz voice quality telephone line is divided into 768 channels spaced about 3.9Hz apart.
Each channel consists of two carrier waves in quadrature, so each channel can carry two pixels. Some channels are reserved for special calibration signals enabling the receiver to detect both the phase and magnitude of the received signal. If the sensor and pixels are connected directly to a bank of oscillators and the receiver can continuously receive each channel, then the receiver need not be synchronized with the transmitter. An FFT algorithm implements a fast discrete approximation to the continuous case in which the receiver synchronizes to the first frame and then acquires subsequent frames every frame period. The frame period is relatively low compared with the sampling period so the receiver is unlikely to lose frame synchrony once the first frame is detected. An experimental video telephone transmitted 4 frames per second, applied quadrature coding to 1440 pixel logmap images and obtained an effective data transfer rate in excess of 40,000 bits per second.
United States Patent No. 5,185,819 discloses a video compression system having odd and even fields of video signal that are independently compressed in sequences of intraframe and interframe compression modes. The odd and even fields of independently compressed data are interleaved for transmission such that the intraframe even field compressed data occurs midway between successive fields of intraframe odd field compressed data. The interleaved sequence provides receivers with twice the number of entry points into the signal for decoding without increasing the amount of data transmitted.
United States Patent No. 5,212,742 discloses an apparatus and method for processing video data for compression/decompression in real-time. The apparatus comprises a plurality of compute modules, in a preferred embodiment, for a total of four compute modules coupled in parallel. Each of the compute modules has a processor, dual port memory, scratch-pad memory, and an arbitration mechanism. A first bus couples the compute modules and host processor. Lastly, the device comprises a shared memory which is coupled to the host processor and to the compute modules with a second bus. The method handles assigning portions of the image for each of the processors to operate upon.
United States Patent No. 5,231,484 discloses a system and method for implementing an encoder suitable for use with the proposed ISO/IEC MPEG standards. Included are three cooperating components or subsystems that operate to variously adaptively pre-process the incoming digital motion video sequences, allocate bits to the pictures in a sequence, and adaptively quantize transform coefficients in different regions of a picture in a video sequence so as to provide optimal visual quality given the number of bits allocated to that picture.
United States Patent No. 5,267,334 discloses a method of removing frame redundancy in a computer system for a sequence of moving images. The method comprises detecting a first scene change in the sequence of moving images and generating a first keyframe containing complete scene information for a first image. The first keyframe is known, in a preferred embodiment, as a "forward-facing" keyframe or intraframe, and it is normally present in CCITT compressed video data. The process then comprises generating at least one intermediate compressed frame, the at least one intermediate compressed frame containing difference information from the first image for at least one image following the first image in time in the sequence of moving images. This at least one frame being known as an interframe. Finally, detecting a second scene change in the sequence of moving images and generating a second keyframe containing complete scene information for an image displayed at the time just prior to the second scene change, known as a "backward-facing" keyframe. The first keyframe and the at least one intermediate compressed frame are linked for forward play, and the second keyframe and the intermediate compressed frames are linked in reverse for reverse play. The intraframe may also be used for generation of complete scene information when the images are played in the forward direction. When this sequence is played in reverse, the backward-facing keyframe is used for the generation of complete scene information.
United States Patent No. 5,276,513 discloses a first circuit apparatus, comprising a given number of prior-art image-pyramid stages, together with a second circuit apparatus, comprising the same given number of novel motion-vector stages, perform cost-effective hierarchical motion analysis (HMA) in real-time, with minimum system processing delay and/or employing minimum system processing delay and/or employing minimum hardware structure. Specifically, the first and second circuit apparatus, in response to relatively high-resolution image data from an ongoing input series of successive given pixel-density image-data frames that occur at a relatively high frame rate (e.g., 30 frames per second), derives, after a certain processing-system delay, an ongoing output series of successive given pixel-density vector-data frames that occur at the same given frame rate. Each vector-data frame is indicative of image motion occurring between each pair of successive image frames.
United States Patent No. 5,283,646 discloses a method and apparatus for enabling a real-time video encoding system to accurately deliver the desired number of bits per frame, while coding the image only once, updates the quantization step size used to quantize coefficients which describe, for example, an image to be transmitted over a communications channel. The data is divided into sectors, each sector 21~5158 -including a plurality of blocks. The blocks are encoded, for example, using DCT coding, to generate a sequence of coefficients for each block. The coefficients can be quantized, and depending upon the quantization step, the number of bits required to describe the data will vary significantly. At the end of the transmission of each sector of data, the accumulated actual number of bits expended is compared with the accumulated desired number of bits expended, for a selected number of sectors associated with the particular group of data. The system then readjusts the quantization step size to target a final desired number of data bits for a plurality of sectors, for example describing an image. Various methods are described for updating the quantization step size and determining desired bit allocations.
The article, Chong, Yong M., A Data-Flow Architecture for Diqital Imaqe Processinq, Wescon Technical Papers: No.
2 Oct./Nov. 1984, discloses a real-time signal processing system specifically designed for image processing. More particularly, a token based data-flow architecture is disclosed wherein the tokens are of a fixed one word width having a fixed width address field. The system contains a plurality of identical flow processors connected in a ring fashion. The tokens contain a data field, a control field and a tag. The tag field of the token is further broken down into a processor address field and an identifier field. The processor address field is used to direct the tokens to the correct data-flow processor, and the identifier field is used to label the data such that the data-flow processor knows what to do with the data. In this way, the identifier field acts as an instruction for the data-flow processor. The system directs each token to a specific data-flow processor using a module number (MN). If the MN matches the MN of the particular stage, then the appropriate operations are 21~51S8 performed upon the data. If unrecognized, the token is directed to an output data bus.
The article, Kimori, S. et al. An Elastic PiPeline Mechanism bY Self-Timed Circuits, IEEE J. of Solid-State Circuits, Vol. 23, No. 1, February 1988, discloses an elastic pipeline having self-timed circuits. The asynchronous pipeline comprises a plurality of pipeline stages. Each of the pipeline stages consists of a group of input data latches followed by a combinatorial logic circuit that carries out logic operations specific to the pipeline stages. The data latches are simultaneously supplied with a triggering signal generated by a data-transfer control circuit associated with that stage. The data-transfer control circuits are interconnected to form a chain through which send and acknowledge signal lines control a hand-shake mode of data transfer between the successive pipeline stages.
Furthermore, a decoder is generally provided in each stage to select operations to be done on the operands in the present stage. It is also possible to locate the decoder in the preceding stage in order to pre-decode complex decoding processing and to alleviate critical path problems in the logic circuit. The elastic nature of the pipeline eliminates any centralized control since all the interworkings between the submodules are determined by a completely localized decision and, in addition, each submodule can autonomously perform data buffering and self-timed data-transfer control at the same time. Finally, to increase the elasticity of the pipeline, empty stages are interleaved between the occupied stages in order to ensure reliable data transfer between the stages.

Accordingly, those concerned with the design, development and use of video compression/decompression systems and related subsystems have long recognized a need for improved methods and apparatus providing enhanced flexibility, efficiency and performance. The present invention clearly fulfills all these needs.

8UMNARY OF T~E lNv~h~ION
Briefly, and in general terms, the present invention provides, in a system having a plurality of processing stages, a universal adaptation unit in the form of an interactive interfacing token for control and/or data functions among the processing stages, the token being a PICTURE_START code token for indicating that the start of a picture will follow in the subsequent DATA token. The token may be an interactive metamorphic interfacing token.
The above and other objectives and advantages of the invention will become apparent from the following more detailed description when taken in conjunction with the accompanying drawings.

Figure. 1 illustrates six cycles of a six-stage pipeline for different combinations of two internal control signals;
Figures. 2a and 2b illustrate a pipeline in which each stage includes auxiliary data storage. They also show the manner in which pipeline stages can "compress" and "expand" in response to delays in the pipeline;
Figures. 3a(1), 3a(2), 3b(1) and 3b(2) illustrate the control of data transfer between stages of a preferred embodiment of a pipeline using a two-wire interface and a multi-phase clock;
Figure. 4 is a block diagram that illustrates a basic embodiment of a pipeline stage that incorporates a two-wire transfer control and also shows two consecutive pipeline processing stages with the two-wire transfer control;
Figures. 5a and 5b taken together depict one example of a timing diagram that shows the relationship between timing signals, input and output data, and internal control signals used in the pipeline stage as shown in Figure. 4;
Figure. 6 is a block diagram of one example of a pipeline stage that holds its state under the control of an extension bit;
Figure. 7 is a block diagram of a pipeline stage that decodes stage activation data words;
Figures. 8a and 8b taken together form a block diagram showing the use of the two-wire transfer control in an exemplifying "data duplication" pipeline stage;
Figures. 9a and 9b taken together depict one example of a timing diagram that shows the two-phase clock, the two-wire transfer control signals and the other internal data and control signals used in the exemplifying embodiment shown in Figures. 8a and 8b.
Figure 10 is a block diagram of a reconfigurable processing stage;

2i~5IS8 Figure 11 is a block diagram of a spatial decoder;
Figure 12 is a block diagram of a temporal decoder;
Figure 13 is a block diagram of a video formatter;
Figures 14a-c show various arrangements of memory blocks used in the present invention:
Figure 14a is a memory map showing a first arrangement of macroblocks;
Figure 14b is a memory map showing a second arrangement of macroblocks;
Figure 14c is a memory map showing a further arrangement of macroblocks;
Figure 15 shows a Venn diagram of possible table selection values;
Figure 16 shows the variable length of picture data used in the present invention;
Figure 17 is a block diagram of the temporal decoder including the prediction filters;
Figure 18 is a pictorial representation of the prediction filtering process;
Figure 19 shows a generalized representation of the macroblock structure;
Figure 20 shows a generalized block diagram of a Start Code Detector;
Figure 21 illustrates examples of start codes in a data stream;
Figure 22 is a block diagram depicting the relationship between the flag generator, decode index, header generator, extra word generator and output latches;
Figure 23 is a block diagram of the Spatial Decoder DRAM
interface;
Figure 24 is a block diagram of a write swing buffer;
Figure 25 is a pictorial diagram illustrating prediction data offset from the block being processed;
Figure 26 is a pictorial diagram illustrating prediction data offset by (1,1);
Figure 27 is a block diagram illustrating the Huffman decoder and parser state machine of the Spatial Decoder.
Figure 28 is a block diagram illustrating the prediction filter.

Figure 29 shows a typical decoder system;
Figure 30 shows a JPEG still picture decoder;
Figure 31 shows a JPEG video decoder;
5 Figure 32 shows a multi-standard video decoder;
Figure 33 shows the start and the end of a token;
Figure 34 shows a token address and data fields;
Figure 35 shows a token on an interface wider than 8 bits;
10 Figure 36 shows a macroblock structure;
Figure 37 shows a two-wire interface protocol;
Figure 38 shows the location of external two-wire interfaces;
Figure 39 shows clock propagation;
15 Figure 40 shows two-wire interface timing;
Figure 41 shows examples of access structure;
Figure 42 shows a read transfer cycle;
Figure 43 shows an access start timing;
Figure 44 shows an example access with two write 20 transfers;
Figure 45 shows a read transfer cycle;
Figure 46 shows a write transfer cycle;
Figure 47 shows a refresh cycle;
Figure 48 shows a 32 bit data bus and a 256 kbit deep DRAMs (9 bit row address);
Figure 49 shows timing parameters for any strobe signal;
Figure 50 shows timing parameters between any two strobe signals;
30 Figure 51 shows timing parameters between a bus and a strobe;
Figure 52 shows timing parameters between a bus and a strobe;
Figure 53 shows an MPI read timing;
35 Figure 54 shows an MPI write timing;
Figure 55 shows organization of large integers in the memory map;
Figure 56 shows a typical decoder clock regime;
Figure 57 shows input clock requirements;
40 Figure 58 shows the Spatial Decoder;
Figure 59 shows the inputs and outputs of the input circuit;
Figure 60 shows the coded port protocol;
Figure 61 shows the start code detector;
45 Figure 62 shows start codes detected and converted to Tokens;
Figure 63 shows the start codes detector passlng Tokens;
Figure 64 -shows overlapping MPEG start codes (byte 50 aligned);

Figure 65 shows overlapping MPEG start codes (not byte aligned);
Figure 66 shows jumping between two video sequences;
5 Figure 67 shows a sequence of extra Token insertion;
Figure 68 shows decoder start-up control;
Figure 69 shows enabled streams queued before the output;
10 Figure 70 shows a spatial decoder buffer;
Figure 71 shows a buffer pointer;
Figure 72 shows a video demux;
Figure 73 shows a construction of a picture;
Figure 74 shows a construction of a 4:2:2 15 macroblock;
Figure 75 shows a calculating macroblock dimension from pel ones;
Figure 76 shows spatial decoding;
Figure 77 shows an overview of H.261 inverse 20 quantization;
Figure 78 shows an overview of JPEG inverse quantization;
Figure 79 shows an overview of MPEG inverse quantization;
25 Figure 80 shows a quantization table memory map;
Figure 81 shows an overview of JPEG baseline sequential structure;
Figure 82 shows a tokenised JPEG picture;
Figure 83 shows a temporal decoder;
30 Figure 84 shows a picture buffer specification;
Figure 85 shows an MPEG picture sequence (m=3);
Figure 86 shows how "I" pictures are stored and output;
Figure 87 shows how "P" pictures are formed, stored 35 and output;
Figure 88 shows how "B" pictures are formed and output;
Figure 89 shows P picture formation;
Figure 90 shows H.261 prediction formation;
Figure 91 shows an H.261 "sequence";
Figure 92 shows a hierarchy of H.261 syntax;
Figure 93 shows an H.261 picture layer;
Figure 94 shows an H.261 arrangement of groups of blocks;
Figure 95 shows an H.261 "slice" layer;
Figure 96 shows an H.261 arrangement of macroblocks;
Figure 97 shows an H.261 sequence of blocks;
Figure 98 shows an H.261 macroblock layer;
Figure 99 shows an H.261 arrangement of pels in blocks;

-Figure 100 shows a hierarchy of MPEG syntax;
Figure 101 shows an MPEG sequence layer;
Figure 102 shows an MPEG group of pictures layer;
Figure 103 shows an MPEG picture layer;
5 Figure 104 shows an MPEG "slice" layer;
Figure 105 shows an MPEG sequence of blocks;
Figure 106 shows an MPEG macroblock layer;
Figure 107 shows an "open GOP";
Figure 108 shows examples of access structure;
10 Figure 109 shows access start timing;
Figure 110 shows a fast page read cycle;
Figure 111 shows a fast page write cycle;
Figure 112 shows a refresh cycle;
Figure 113 shows extracting row and column address 15 from a chip address;
Figure 114 shows timing parameters for any strobe signal;
Figure 115 shows timing parameters between any two strobe signals;
20 Figure 116 shows timing parameters between a bus and a strobe;
Figure 117 shows timing parameters between a bus and a strobe;
Figure 118 shows a Huffman decoder and parser;
Figure 119 shows an H.261 and an MPEG AC Coefficient Decoding Flow Chart;
Figure 120 shows a block diagram for JPEG (AC and DC) coefficient decoding;
Figure 121 shows a flow diagram for JPEG (AC and DC) coefficient decoding;
Figure 122 shows an interface to the Huffman Token Formatter;
Figure 123 shows a token formatter block diagram;
Figure 124 shows an H.261 and an MPEG AC Coefficient Decoding;
Figure 125 shows the interface to the Huffman ALU;
Figure 126 shows the basic structure of the Huffman ALU;
Figure 127 shows the buffer manager;
40 Figure 128 shows an imodel and hsppk block diagram;
Figure 129 shows an imex state diagram;
Figure 130 illustrates the buffer start-up;
Figure 131 shows a DRAM interface;
Figure 132 shows a write swing buffer;
45 Figure 133 shows an arithmetic block;
Figure 134 shows an iq block diagram;
Figure 135 shows an iqca state machine;
Figure 136 shows an IDCT 1-D Transform Algorithm;
Figure 137 shows an IDCT 1-D Transform Architecture;
50 Figure 138 shows a token stream block diagram;
Figure 139 shows a standard block structure;

21~51S8 Figure 140 is a block diagram showing;
microprocessor test access;
Figure 141 shows 1-D Transform Micro-Architecture;
Figure 142 shows a temporal decoder block diagram;
5 Figure 143 shows the structure of a Two-wire interface stage;
Figure 144 shows the address generator block diagram;
Figure 145 shows the block and pixel offsets;
10 Figure 146 shows multiple prediction filters;
Figure 147 shows a single prediction filter;
Figure 148 shows the 1-D prediction filter;
Figure 149 shows a block of pixels;
Figure 150 shows the structure of the read rudder;
15 Figure 151 shows the block and pixel offsets;
Figure 152 shows a prediction example;
Figure 153 shows the read cycle;
Figure 154 shows the write cycle;
Figure 155 shows the top-level registers block diagram with timing references;
Figure 156 shows the control for incrementing presentation numbers;
Figure 157 shows the buffer manager state machine (complete);
Figure 158 shows the state machine main loop;
Figure 159 shows the buffer 0 containing an SIF (22 by 18 macroblocks) picture;
Figure 160 shows the SIF component 0 with a display window;
Figure 161 shows an example picture format showing storage block address;
Figure 162 shows a buffer 0 containing a SIF (22 by 18 macroblocks) picture;
Figure 163 shows an example address calculation;
35 Figure 164 shows a write address generation state machine;
Figure 165 shows a slice of the datapath;
Figure 166 shows a two cycle operation of the datapath;
40 Figure 167 shows mode 1 filtering;
Figure 168 shows a horizontal up-sampler datapath;
and Figure 169 shows the structure of the color-space converter.

-THIS PAGE IS INTENTIONALLY
LEFT BLANK.

THIS PAGE IS INTENTIONALLY
LEFT BLANK.

21~1S8 In the ensuing description of the practice of the invention, the following terms are frequently used and are generally defined by the following glossary:

BLOCR: An 8-row by 8-column matrix of pels, or 64 DCT
coefficients (source, quantized or dequantized).
CHROMTN~NCE ~COMPONENT): A matrix, block or single pel representing one of the two color difference signals related to the primary colors in the manner defined in the bit stream. The symbols used for the color difference signals are Cr and Cb.
CODED REPRE8ENTATION: A data element as represented in its encoded form.
CODED VIDEO BIT 8TREAM: A coded representation of a series of one or more pictures as defined in this specification.
CODED ORDER: The order in which the pictures are transmitted and decoded. This order is not necessarily the same as the display order.
COMPONENT: A matrix, block or single pel from one of the three matrices (luminance and two chrominance) that make up a picture.
COMPRE88ION: Reduction in the number of bits used to represent an item of data.
DECODER: An embodiment of a decoding process.
DECODING (PROCE88): The process defined in this specification that reads an input coded bitstream and produces decoded pictures or audio samples.
DI8PLAY ORDER: The order in which the decoded pictures are displayed. Typically, this is the same order in which they were presented at the input of the encoder.
ENCODING (PROCE88): A process, not specified in this specification, that reads a stream of input pictures or audio samples and produces a valid coded bitstream as defined in this specification.

21~51~8 INTRA CODING: Coding of a macroblock or picture that uses information only from that macroblock or picture.
L~N~NCB (COM~ON~ ): A matrix, block or single pel representing a monochrome representation of the signal and related to the primary colors in the manner defined in the bit stream. The symbol used for luminance is Y.
MACROBLOCR: The four 8 by 8 blocks of luminance data and the two (for 4:2:0 chroma format) four (for 4:2:2 chroma format) or eight (for 4:4:4 chroma format) corresponding 8 by 8 blocks of chrominance data coming from a 16 by 16 section of the luminance component of the picture. Macroblock is sometimes used to refer to the pel data and sometimes to the coded representation of the pel values and other data elements defined in the macroblock header of the syntax defined in this part of this specification. To one of ordinary skill in the art, the usage is clear from the context.
MOTION COMPEN8ATION: The use of motion vectors to improve the efficiency of the prediction of pel values. The prediction uses motion vectors to provide offsets into the past and/or future reference pictures containing previously decoded pel values that are used to form the prediction error signal.
MOTION VBCTOR: A two-dimensional vector used for motion compensation that provides an offset from the coordinate position in the current picture to the coordinates in a reference picture.
NON-INTRA CODING: Coding of a macroblock or picture that uses information both from itself and from macroblocks and pictures occurring at other times.
PEL: Picture element.
PICT~RE: Source, coded or reconstructed image data. A source or reconstructed picture consists of three rectangular matrices of 8-bit numbers representing the luminance and two chrominance signals. For progressive video, a picture is 21 151~8 identical to a frame, while for interlaced video, a picture can refer to a frame, or the top field or the bottom field of the frame depending on the context.
PREDICTION: The use of a predictor to provide an estimate of the pel value or data element currently being decoded.
RECONFIGURABLE PROCES8 8TAGE ~RPS): A stage, which in response to a recognized token, reconfigures itself to perform various operations.
8LICE: A series of macroblocks.
TOREN: A universal adaptation unit in the form of an interactive interfacing messenger package for control and/or data functions.
8TART CODE8 [8Y8TEM AND VIDEO]: 32-bit codes embedded in a coded bitstream that are unique. They are used for several purposes including identifying some of the structures in the coding syntax.
V~T~RT~ LENGTH CODING; VLC: A reversible procedure for coding that assigns shorter code-words to frequent events and longer code-words to less frequent events.
VIDEO 8EQ~ENCE: A series of one or more pictures.
Detailed Descriptions 21~51S8 DE8CRIPTION OF THB PREFERRED EMBODIMENT(8) As an introduction to the most general features used in a pipeline system which is utilized in the preferred embodiments of the invention, Fig. 1 is a greatly simplified illustration of six cycles of a six-stage pipeline. (As is explained in greater detail below, the preferred embodiment of the pipeline includes several advantageous features not shown in Fig 1.).
Referring now to the drawings, wherein like reference numerals denote like or corresponding elements throughout the various figures of the drawings, and more particularly to Fig. 1, there is shown a block diagram of six cycles in practice of the present invention. Each row of boxes illustrates a cycle and each of the different stages are labelled A-F, respectively. Each shaded box indicates that the corresponding stage holds valid data, i.e., data that is to be processed in one of the pipeline stages. After processing (which may involve nothing more than a simple transfer without manipulation of the data) valid data is transferred out of the pipeline as valid output data.
Note that an actual pipeline application may include more or fewer than six pipeline stages. As will be appreciated, the present invention may be used with any number of pipeline stages. Furthermore, data may be processed in more than one stage and the processing time for different stages can differ.
In addition to clock and data signals (described below), the pipeline includes two transfer control signals -- a "VALID" signal and an "ACCEPT" signal. These signals are used to control the transfer of data within the pipeline.
The VALID signal, which is illustrated as the upper of the two lines connecting neighboring stages, is passed in a forward or downstream direction from each pipeline stage to the nearest neighboring device. This device may be another 21gS158 pipeline stage or so~e other system. For example, the last pipeline stage may pass its data on to subsequent processing circuitry. The ACCEPT signal, which is illustrated as the iower of the two lines connecting neighboring stages, passes in the other direction upstream to a preceding device.
A data pipeline system of the type used in the practice of the present invention has, in preferred embodiments, one or more of the following characteristics:
1. The pipeline is "elastic" such that a delay at a o particular pipeline stage causes the minimum disturbance possible to other pipeline stages. Succeeding pipeline stages are allowed to continue processing and, therefore, this means that gaps open up in the stream of data following the delayed stage. Similarly, preceding 1~ pipeline stages may also continue where possible. In this case, any gaps in the data stream may, wherever poss ble, be removed from the stream of data.
2. Control signals that arbitrate the pipeline a-e organized so that they only propagate to the nearest 2-; neighboring pipeline stages. In the case of signals flowing in the same direction as the data flow, this is the immediately succeeding stage. In the case of signals flowing in the opposite direction to the data flow, this is the immediately preceding stage.
2~ 3. The data in the pipeline is encoded such that many different types of data are processed in the pipeline.
This encoding accommodates data packets of varlable size and the size of the packet need not be known in advance.
~. The overhead associated with describing the type of ,~ data is as sr,all as possible.
c. It is possible for each pipeline stage to recognize only the ~,inimum number of data types that are needed for its required function. It should, however, still be a~,e to pass all data types onto the succeeding stage even though it does not recognize them. This enables communication between non-adjacent pipeline stages.
Although not shown in Fig. 1, there are data lines, either single lines or several parallel lines, which form a data bus that also lead into and out of each plpeline stage.
As is explained and illustrated in greater detail below, data is transferred into, out of, and between the stages of the pipeline over the data lines.
Note that the first pipeline stage may receive data and 13 control signals from any form of preceding device. For example, reception circuitry of a digital image transmission system, another pipeline, or the like. On the other hand, it may generate itself, all or part of the data to be processed ln the pipeline. Indeed, as is explained below, a "stage"
1, may contain arbitrary processing circuitry, including none at all (for simple passing of data) or entire systems (for example, another pipeline or even multiple systems or pipelines), and it may generate, change, and delete data as desired.
~ hen a pipeline stage contains valid data that is to be transferred down the pipeline, the VALID signal, which indicates data validity, need not be transferred further than to the immediately subsequent pipeline stage. A two-wire interface is, therefore, included between every pair of 2~ pipeline stages in the system. This includes a two-wire interface between a preceding device and the first stage, and between a subsequent device and the last stage, if such other devices are included and data is to be transferred between - them and the pipeline.
~~ Each of the signals, ACCEPT and VALID, has a HIGH and a LO~- value. These values are abbreviated as ~H~ and l'T ", respec.ively. The most common applications of the pipeline, ;n practicing the invention, will typically be digitai. .n such digital implementations, the HIGH value may, for .

example, be a logical "1" and the LOw value may be a logical "O". The system is not restricted to digital implementations, however, and in analog implementations, the HIGH value may be a voltage or other similar quantity above (or below) a set threshold, with the LOW value being indicated by the corresponding signal being below (or above) the same or some other threshold. For digital applications, the present invention may be implemented using any known technology, such as CMOS, bipolar etc.
It is not necessary to use a distinct storage device and ~ires to provide for storage of VALID signals. This is true even in a digital embodiment. All that is required is that the indication of "validity" of the data be stored along with the data. By way of example only, in digital television 1~ pictures that are represented by digital values, as specified in the international standard CCIR 601, certain speciflc values are not allowed. In this system, eight-bit binary numbers are used to represent samples of the picture and ~he values zero and 255 may not be used.
2~ If such a picture were to be processed in a pipeline bullt in the practice of the present invention, then one of these values (zero, for example) could be used to ind-icate that the data in a specific stage in the pipeline is not valid.
Accordingly, any non-zero data would be deemed to be valid.
2, In this example, there is no specific latch that can be identified and said to be storing the "validness" of the associated data. Nonetheless, the validity of the data is stored along with the data.
As shown in Fig. 1, the state of the VALID signal into , each stage is indicated as an ~H~ or an ~L~ on an upper, rLgr.t-pointed arrow. Therefore, the VALID signal from Sta?e A intG Stage B is LOW, and the VALID signal from Stage D i-.lo Stage E is HIGH. The state of the ACCEPT signal into eaeh stage is indicated as an ~H~ or an ~L~ on a lower, left-pointing arrow. Hence, the AC~EPT slgnal from Stage E intoStage D is HIGH, whereas the ACCEPT signal from the device connected downstream of the pipeline into Stage F is LOW.
Data is transferred from one stage to another during a cycle texplained below) whenever the ACCEPT signal of the downstream stage into its upstream neighbor is HIGH. If the ACCEPT signal is LOW between two stages, then data is not transferred between these stages.
Referring again to Fig. 1, if a box is shaded, the corresponding pipeline stage is assumed, by way of example, to contain valid output data. Likewise, the VALID signal which is passed from that stage to the following stage is HIGH. Fig. l illustrates the pipeline when stages B, D, and E contain valid data. Stages A, C, and F do not contain valld data. At the beginning, the VALID signal into pipeline stage A is HIGH, meaning that the data on the transmission line lnto the pipeline is valid.
Also at this time, the ACCEPT signal into pipeline stage F is LOW, so that no data, whether valid or not, is transferred out of Stage F. Note that both valid and invalid data is transferred between pipeline stages. Invalid data, which is data not worth saving, may be written over, thereby, eliminating it from the pipeline. However, valid data must . not be written over since it is data that must be saved for processing or use in a downstream device e.g., a pipeline stage, a device or a system connected to the pipeline that receives data from the pipeline.
In the pipeline illustrated in Fig. 1, Stage E contalns valid data Dl, Stage D contains valid data D2, stage B
,5 CGntains valld data D3, and a device (not shown) connected t_ tne pipeline upstream contains data D~ that is to be transferred into and processed in the pipeline. Stages B, ~
and E, in addition to the upstream device, contain valid data and, therefore, the VALID signal from these stages or devices into their respective following devices is HIGH. The VALID
signal from the Stages A, C and F is, however, LOW since these stages do not contain valid data.
Assume now that the device connected downstream from the pipeline is not ready to accept data from the pipeline. The device signals this by setting the corresponding ACCEPT
signal LOW into Stage F. Stage F itself, however, does not contain valid data and is, therefore, able to accept data from the preceding Stage E. Hence, the ACCEPT signal from 3 Stage F into Stage E is set HIGH.
Sir,ilarly, Stage E contains valid data and Stage F is ready to accept this data. Hence, Stage E can accept new data as long as the valid data Dl is first transferred to Stage F. In other words, although Stage F cannot transfer 1, data downstream, all the other stages can do so without any valld data being overwritten or lost. At the end of Cycle 1, data can, therefore, be "shifted" one step to the right.
Ihis condition is shown in Cycle 2.
In the illustrated example, the downstream device is stiil 2 not ready to accept new data in Cycle 2 and, therefore, the ACCEPT signal into Stage F is still LOW. stage F cannot, therefore, accept new data since doing so would cause valid data D1 to be overwritten and lost. The ACCEPT signal from Stage F into Stage E, therefore, goes LOW, as does the ACCEPT
2, signal from Stage E into Stage D since Stage E also contains valid data D2. All of the Stages A-D, however, are able to accept new data (either because they do not contain valid data or because they are able to shift their valid data downstream and accept new data) and they signal this ,~ condition to their immediately preceding neighbors by sett ng their corresponding ACCEPT signals HIGH.
Ihe state of the pipelines after Cycle 2 is illustrated ln Flg. i for the row labelled Cycle 3. By way of example, it is assumed that the downstream device is still not ready 1~

accept new data from Stage F (the ACCEPT signal into Stage F
is LOW). Stages E and F, therefore, are still "blocked", but in Cycle 3, Stage D has received the valid data D3, which has overwritten the invalid data that was previously in this stage. Since Stage D cannot pass on data D3 in Cycle 3, it cannot accept new data and, therefore, sets the ACCEPT signal into stage C LOW. However, stages A-C are ready to accept new data and signal this by setting their corresponding ACCEPT signals HIGH. Note that data D4 has been shifted from Stage A to Stage B.
Assume now that the downstream device becomes ready to accept new data in Cycle 4. It signals this to the pipeline by setting the ACCEPT signal into Stage F HIGH. Although Stages C-F contain valid data, they can now shift the data downstream and are, thus, able to accept new data. Since each stage is 'herefore able to shift data one step downstream, they set their respective ACCEPT signals out HIGH.
As long as the ACCEPT signal lnto the final pipeline stage 2~ (in this example, Stage F) is HIGH, the pipeline shown in Fig. 1 acts as a rigid pipeline and simply shifts data one step downstream on each cycle. Accordingly, in Cycle 5, data D1, which was contained in Stage F in Cycle 4, is shifted out of the pipeline to the subsequent device, and all other data lS shifted one step downstream.
Assume now, that the ACCEPT signal into Stage F goes LO~
in Cycle 5. Once again, this means that Stages D-F are not able to accept new data, and the ACCEPT signals out of these stages into their immediately preceding neighbors go LO~.
,;J Hence, the data D2, D3 and D4 cannot shift downstrea., however, the data D5 can. The corresponding state of t~.e pipeline after Cycle 5 is, thus, shown in Fig. l as Cycle ~.
The ability of the pipeline, in accordance with the preferred embodiments of the present invention, to ~fill up"

empty processing stages is highly advantageous since the processing stages in the pipeline thereby become decouple from one another. In other words, even though a pipeline stage may not be ready to accept data, the entire pipeline does not have to stop and wait for the delayed stage.
Rather, when one stage is unable to accept valid data it simply forms a temporary "wall" in the pipeline.
Nonetheless, stages downstream of the "wall" can continue to advance valid data even to circuitry connected to the pipeline, and stages to the left of the "wall" can still accept and transfer valid data downstream. Even when several pipeline stages temporarily cannot accept new data, other stages can continue to operate normally. In particular, the pipeline can continue to accept data into its initial stage 1~ A as long as stage A does not already contain valid data that cannot be advanced due to the next stage not being ready to accept new data. As this example illustrates, data can be .ransferred into the pipeline and between stages even when one or more processing stages is blocked.
In the embodiment shown in Fig. 1, it is assumed that the various pipeline stages do not store the ACCEPT signals they receive from their immediately following neighbors. Instead, whenever the ACCEPT signal into a downstream stage goes LOW, this LOW signal is propagated upstream as far as the nearest 2~ pipellne stage that does not contain valid data. For example, referring to Fig. 1, it was assumed that the ACCEPT
signal into Stage F goes LOW in Cycle 1. In Cycle 2, the LOh-signal propagates from Stage F back to Stage D.
In Cycle 3, when the data D3 is latched into Stage D, the 0 ACcEPT signal propagates upstream four stages to Stage C.
When the ~CCEPT signal into Stage F goes HIGH in Cycle 4, lt ~us~ propagate upstream all the way to Stage C. In other words, the change in the ACCEPT signal must propagate back four stages. It is not necessary, however, in the embodiment `

illustrated in Fig. 1, for the ACCEPT signal to propagate all the way back to the beginning of the pipeline if there is some intermediate stage that is able to accept new data.
In the embodiment illustrated in Fig. 1, each pipeline stage will still need separate input and output data latches to allow data to be transferred between stages without unintended overwriting. Also, although the pipeline illustrated in Fig. 1 is able to "compress" when downstream pipeline stages are blocked, i.e., they cannot pass on the data they contain, the pipeline does not "expand" to provlde stages that contain no valid data between stages that do contain valid data. Rather, the ability to compress depends on there being cycles during which no valid data is presented to the first pipeline stage.
1~ In Cycle 4, for example, if the ACCEPT signal into Stage F remained LOW and valid data filled pipeline stages A and 3, as long as valid data continued to be presented to Stage A
the pipellne would not be able to compress any further and valid lnput data could be lost. Nonetheless, the pipeline llustrated in Fig. 1 reduces the risk of data loss since t is able to compress as long as there is a pipeline stage that does not contain valid data.
Fig. 2 illustrates another embodiment of the pipeline .hat can both compress and expand in a logical manner and which 2, lncludes circuitry that limits propagation of the ACCEPT
signal to the nearest preceding stage. Although t~e circuitry for implementing this embodiment is explained ar,d illustrated in greater detail below, Fig. 2 serves to illustrate the principle by which it operates.
,, For ease of comparison only, the input data and ACC_?T
signals into the pipeline embodiment shown in Fig. 2 are thC
sar,e as in the pipeline embodiment shown in Fig. ~.
Accordingly~ stages E, D and B contain valid data D1, D2 an~
~3, respectively. The ACCEPT signal into Stage F is LOW; and 21~51~8 ~,,, data D4 is presented to the beginning pipeline Stage A. In Fig. 2, three lines are shown connecting each neighboring pair of pipeline stages. The upper~ost line, which may be a bus, is a data line. The middle line is the line over which the ~ALID signal is transferred, while the bottom line is the line over which the ACCEPT signal is transferred. Also, as before, the ACCEPT signal into Stage F remains LOW except in Cycle 4. Furthermore, additional data D5 is presented to the pipeline in Cycle 4.
'~ In Fig. 2, each pipeline stage is represented as a block divided into two halves to illustrate that each stage in this er,bodiment of the pipeline includes primary and secondary data storage elements. In Fig. 2, the primary data stor~ge is shown as the right half of each stage. However, it will be appreciated that this delineation is for the purpose of llustratior. only and is not intended as a limitation.
~ s Flg. 2 illustrates, as long as the ACCEPT signal lnto a stage is HIGH, data is transferred from the primary storage elements of the stage to the secondary storage eler.ents of 2~! the following stage during any given cycle. Accordingly, althougn the ACCEPT signal into Stage F is LOW, the ACCEPT
signal into all other stages is HIGH so that the data D1, D2 and D3 is shifted forward one stage in Cycle 2 and the data D~ is shifted into the first Stage A.
2~ ~'p to this point, the pipeline embodiment shown in Fig. 2 acts in a manner similar to the pipeline embodir,ent shown ln Fig. 1. The ACCEPT signal from Stage F into Stage E, however, is HIGH even though the ACCEPT signal into Stage F
~ s LOW. As is explained below, because of the secondary ,~ s~orage elements, it is not necessary for the LOW ACCEPT
s sr.al .o propagate upstream beyond Stage F. Moreover, by leavlng .he ACCEP~ signal into Stage E HIGH, Stage F sigr.als that it is ready to accept new data. Since Stage F is nct able to transfer the data Dl in its primary storage eler.~entS

downstream (the ACCEPT signal into Stage F is LOW) in Cycle 3, Stage E must, therefore, transfer the data D2 into the secondary storage elements of Stage F. Since both the primary and the secondary storage elements of Stage F now 5 contain valid data that cannot be passed on, the ACCEPT
signal from Stage F into Stage E is set LOW. Accordingly, this represents a propagation of the LOW ACCEPT signal back only one stage relative to Cycle 2, whereas this ACCEPT
signal had to be propagated back all the way to Stage C in 1 r the embodiment shown in Fig. 1.
Since Stages A-E are able to pass on their data, the ACCEPT signals from the stages into their immediately preceding neighbors are set HIGH. Consequently, the data D3 and D4 are shifted one stage to the right so that, in Cycle ~_ 4, they are loaded into the primary data storage elements of a.age E and âtage C, respectively. Although Stage E now contains valid data D3 in its primary storage elements, its secondary storage elements can still be used to store other data wlthout risk of overwriting any valid data.
2~ Assume now, as before, that the ACCEPT signal into Stage F becomes HIGH in Cycle 4. This indicates that the downstream device to which the pipeline passes data is ready to accept data from the pipeline. âtage F, however, has set ts ACCEPT signal LOW and, thus, indicates to âtage E that âtage F 1S not prepared to accept new data. Observe that the ACCEPT signals for each cycle indicate what will ~happen" in the next cycle, that is, whether data will be passed on (ACCEPT HIGH) or whether data must remain in place (ACCEPT
LOW). Therefore, from Cycle 4 to Cycle 5, the data D1 is , ?assed fro~ Stage F to the following device, the data D2 is s.~ifte~ from secondary to primary storage in Stage F, but the data ~ in Stage E is not transferred to âtage F. The data 34 anà D5 can be transferred into the following pipellne stages as normal since the following stages have their ACCEPT

- 21~5 lS 8 . ~

signals HIGH.
Comparing the state of the pipeline in Cycle 4 and Cycle 5, it can be seen that the provision of secondary storage elements, enables the pipeline embodiment shown in Fig. 2 to expand, that is, to free up data storage elements into which valid data can be advanced. For example, in Cycle 4, the data blocks D1, D2 and D3 form a "solid wall" since their data cannot be transferred until the ACCEPT slgnal into Stage F goes HIGH. Once this signal does become HIGH, however, data Dl is shifted out of the pipeline, data D2 is shifted into the primary storage elements of Stage F, and the secondary storage elements of Stage F become free to accept new data if the following device is not able to receive the data D2 and the pipeline must once again "compress." This is 1, shown in Cycle 6, for which the data D3 has been shifted into the secondary storage elements of Stage F and the data D4 has been passed on from Stage D to Stage E as normal.
Figs. 3a(1), 3a(2), 3b(1) and 3b(2) (which are referred .c collectively as Fig. 3) illustrate generally a preferred 2~- embodiment of the pipeline. This preferred embodiment implements the structure shown in Fig. 2 using a two-phase, non-overlapping clock with phases oO and 01. Although a two-phase clock is preferred, it will be appreciated that it is also possible to drive the various embodiments of the 2~ invention using a clock with more than two phases.
As shown in Fig. 3, each pipeline stage is represented as having two separate boxes which illustrate the primary and secondary storage elements. Also, although the VALID sigr,al and the data lines connect the various pipeline stages as ~v oefore, for ease of illustration, only the ACCEPT signal is showr. in Fig. 3. A change of state during a clock phase cf certain of the ACCEPT signals is indicated in Fig. 3 using an upward-pointing arrow for changes from LOW to HICH
S milarly, a downward-pointing arrow for changes from HIvH tc . ~_ LOW. Transfer of data from one storage element to another is indicated by a large open arrow. It is assumed that the ~ALID signal out of the primary or secondary storage elements of any given stage is HIGH whenever the storage elements contain valid data.
In Fig. 3, each cycle is shown as consisting of a full period of the non-overlapping clock phases oO and al. As is explained in greater detail below, data is transferred from the secondary storage elements (shown as the left box in each stage) to the primary storage elements (shown as the right box in each stage) during clock cycle ~1, whereas data is transferred from the primary storage elements of one stage to the secondary storage elements of the following stage during the clock cycle o0. Fig. 3 also illustrates that the primary 1~ and secondary storage elements in each stage are further _onnected via an internal acceptance line to pass an ACCEPT
signal in the same manner that the ACCEPT signal is passed from stage to stage. In this way, the secondary storage element will know when it can pass its date to the primary ~0 storage element.
Fig. 3 shows the ~1 phase of Cycle 1, in which data D1, D2 and D3, which were previously shifted into the secondary storage elements of Stages E, D and B, respectively, are . shifted into the primary storage elements of the respective 2~ stage. During the ~1 phase of Cycle 1, the pipeline, therefore, assumes the same configuration as is shown as Cycle 1 of Fig. 2. As before, the ACCEPT signal into Stage F is assumed to be LOW. As Fig. 3 illustrates, however, this ~eans that the ACCEPT signal into the primary storage elemen ~ cf Stage F is LOW, but since this storage element does not cor,-ai~ valid data, it sets the ACCEPT signal into i's secondary storage element HIGH.
The ACCEPT signal from the secondary storage elements _~
Stage F into the primary storage elements of Stage E is also ~1~51~8 set HIGH since the secondary storage elements of Stage F do not contain valid data. As before, since the primary storage elements of Stage F are able to accept data, data in all the upstream primary and secondary storage elements can be shifted downstream without any valid data being overwritten.
The shift of data from one stage to the next takes place during the next oO phase in Cycle 2. For example, the valid data D1 contained in the primary storage element of Stage E
is shifted into the secondary storage element of Stage F, the O data D4 is shifted into the pipeline, that is, into the secondary storage element of Stage A, and so forth.
The primary storage element of Stage F still does not contain valid data during the 0O phase in Cycle 2 and, therefore, the ACCEPT signal from the primary storage .5 elements into the secondary storage elements of Stage F
remains HIGH. During the 01 phase in Cycle 2, data can therefore be shifted yet another step to the right, i.e., frGm the secondary to the primary storage elements with;r, each stage.
However, once valid data is loaded into the primary storage elements of Stage F, if the ACCEPT into Stage F from the downstream device is still LOW, it is not possible to shift data out of the secondary storage element of Stage F
wlthout overwriting and destroying the valid data D1. The ~CCEPT signal from the primary storage elements into the secondary storage elements of Stage F therefore goes LOW.
Data D2, however, can still be shifted into the secondary storage of Stage F since it did not contain valid data and lts ACCEPT signal out was HIGH.
_~! During the ol phase of Cycle 3, it is not possible tc shift data D2 into the primary storage elements of Stage F, although data can be shifted within all the previous s.ages.
Once valid data is loaded into the secondary storage ele~ents of Stage F, however, Stage F is not able to pass on thl-` 214S158 data. It signals this event setting its ACCEPT signal outLOW.
Assuming that the ACCEPT signal into Stage F remains LOW, data upstream of Stage F can continue to be shifted between 5 stages and within stages on the respective clock phases until the next valid data bloc~ D3 reaches the primary storage elements of Stage E. As illustrated, this condition is reached during the ol phase of Cycle 4.
During the o0 phase of Cycle 5, data D3 has been loaded ', into the primary storage element of Stage E. Since this data cannot be shifted further, the ACCEPT signal out of the primary storage elements of Stage E is set LOW. Upstream data can be shifted as normal.
Assume now, as in Cycle 5 of Fig. 2, that the device i5 connected downstream of the pipeline is able to accept pipeline data. It signals this event by setting the ACCEPT
signal into pipeline Stage F HIGH during the ol phase of Cycle ~. The primary storage elements of Stage F can now shift data to the right and they are also able to accept new 2~ data. Hence, the data D1 was shifted out during the ~1 phase of Cycle 5 so that the primary storage elements of Stage F no longer contain data that must be saved. During the ~1 phase o' Cycle 5, the data D2 is, therefore, shifted within Stage F from the secondary storage elements to the primary storage elements. The secondary storage elements of Stage F are also able to accept new data and signal this by setting the ACCE2 signal into the primary storage elements of Stage E HIGH.
During transfer of data within a stage, that is, from its secondary to its primary storage elements, both sets of storage elements will contain the same data, but the data in the secondary storage elements can be overwritten with no data loss since this data will also be held in the pri.ary storage elements. The same holds true for data transfer f~^~
the pri-,ary storage elements of one stage into the secondarv .~

storage elements of a subsequent stage.
Assume now, that the ACCEPT signal into the primary storage elements of Stage F goes LOW during the ol phase in Cycle 5. This means that Stage F is not able to transfer the data D2 out of the pipeline. Stage F, consequently, sets the ACCEPT signal from its primary to its secondary storage elements LOW to prevent overwriting of the valid data D2.
The data D2 stored in the secondary storage elements of Stage F, however, can be overwritten without loss, and the data D3, 13 is therefore, transferred into the secondary storage elements of Stage F during the ~0 phase of Cycle 6. Data D4 and D5 can be shifted downstream as normal. Once valid data D3 is stored in Stage F along with data D2, as long as the ACCEPT
signal into the primary storage elements of Stage F is LOW, i~ neither of the secondary storage elements can accept new data, and it signals this by setting the ACCEPT signal into Stage E LOW.
~ hen the ACCEPT signal into the pipeline from the downstream device changes from LOW to HIGH or vice versa, 2~ thls change does not have to propagate upstream within the pipeline further than to the immediately preceding storage elements (within the same stage or within the preceding plpeline stage). Rather, this change propagates upstream within the pipeline one storage element block per clock 2- phase.
As this example illustrates, the concept of a "stage" in the pipeline structure illustrated in Fig. 3 is to some extent a matter of perception. Since data is transferred within a stage (from the secondary to the primary storage -r~ eIe~ents) as it is between stages (from the primary storage elements of the upstream stage into the secondary storage elemen.s of the neighboring downstream stage), one could just as well consider a stage to consist of "primary" s orage eie~ents followed by ~secondary storage elements" instead of , 2lqsls8 as illustrated in Fig. 3. The concept of "primary" and "secondary" storage elements is, therefore, mostly a question of labeling. In Fig. 3, the "primary" storage elements can also be referred to as "output" storage elements, since they are the elements from which data is transferred out of a stage into a following stage or device, and the "secondary"
storage elements could be "input" storage elements for the same stage.
In explaining the aforementioned embodiments, as shown in 0 Figs. 1-3, only the transfer of data under the control of the ACCEPT and VALID signals has been mentioned. It is to be further understood that each pipeline stage may also process the data it has received arbitrarily before passing it between its internal storage elements or before passing it to 1~ the following pipeline stage. Therefore, referring once again to Fig. 3, a pipeline stage can, therefore, be defined as the portion of the pipeline that contains input and output storage elements and that arbitrarily processes data stored in its storage elements.
~, Furthermore, the "device" downstream from the pipeline stage F, need not be some other type of hardware structure, but rather it can be another section of the same or part of another pipeline. As illustrated below, a pipeline stage can set its ACCEPT signal LOW not only when all of the downstream 2~ storage elements are filled with valid data, but also when a stage requires more than one clock phase to finish processing its data. This also can occur when it creates valid data in one or both of its storage elements. In other words, it is not necessary for a stage simply to pass on the ACCEPT signal ased on whether or not the immediately downstream storage eiements contains valid data that cannot be passed on.
Rather, the ACCEPT signal itself may also be altered wi;hin the stage or, by circuitry external to the stage, in order to _ontroi the passage of data between adjacent storaae 21 ~51 s8 elements. The VALID signal may also be processed in an analogous manner.
A great advantage of the two-wire interface (one wire for each of the VALID and ACCEPT signals) is its ability to control the pipeline without the control signals needing to propagate back up the pipeline all the way to its beginning stage. Referring once again to Fig. 1, Cycle 3, for example, although stage F "tells" stage E that it cannot accept data, and stage E tells stage D, and stage D tells stage C.
1v Indeed, if there had been more stages containing valid data, then this signal would have propagated back even further along the pipeline. In the embodiment shown in Fig. 3, Cycle 3, the LOW ACCEPT signal is not propagated any further upstream than to stage E and, then, only to its primary 1~ storage elements.
As described below, this embodiment is able to achieve this flexibility without adding significantly to the silicon area that is required to implement the design. Typically, each latch in the pipeline used for data storage requires 2û only a single extra transistor (which lays out very efflciently in silicon). In addition, two extra latches and a smail number of gates are preferably added to process the ACCEPT and VALID signals that are associated with the data . latches in each half-stage.
2~ Fig. 4 illustrates a hardware structure that implements a stage as shown in Fig. 3.
~ y way of example only, it is assumed that eight-blt data is to be transferred (with or without further manipulation in optional combinatorial logic circuits) in parallel .hroug~.
'J the pipeline. However, it will be appreciated tha~ eit~.er ~ore or less than eight-bit data can be used in prac.icin~
the invention. Furthermore, the two-wire interface 1.~
accoràance with this embodiment is, however, suitable for use with any data bus width, and the data bus width may even ~_ 21~5I5%

change from one stage to the next if a particular application so requires. The in~erface in accordance with this embodiment can also be used to process analog signals.
As discussed previously, while other conventional timing arrangements may be used, the interface is preferably controlled by a two-phase, non-overlapping clock. In Figs.
4-9, these clock phase signals are referred to as PH0 and PH1. In Fig. 4, a line is shown for each clock phase signal.
Input data enters a pipeline stage over a multi-bit data ~0 bus I~_DATA and is transferred to a following pipeline stage or to subsequent receiving circuitry over an output data bus OUT_DATA. The input data is flrst loaded in a manner described below into a series of input latches (one for each input data signal) collectively referred to as LDIN, which constitute the secondary storage elements described above.
In the illustrated example of this embodiment, it is assumed that the Q outputs of all latches follow their D
,nputs, that is, they are "loaded", when the clock input is HIGH, i.e., at a logic "l" level. Additionally, the Q
2~ outputs hold their last values. In other words, the Q
outputs are "latched" on the falling edge of their respective clock signals. Each latch has for its clock either one of two non-overlapping clock signals PH0 or PHl (as shown in Fig. 5), or the logical AND combination of one of these clock signals PH0, PH1 and one logic signal. The invention works equally well, however, by providing latches that latch on the rising edges of the clock signals, or any other kncwn latching arrangement, as long as conventional methods are applied to ensure proper timing of the latching operaticns.
,~ The output data from the input data latch LDIN passes via an arbitrary and optional combinatorial logic circuit B1 which riay be provided to convert output data from input la~-h L~IN intc intermediate data, which is then later loaded in 2n output data latch LDOUT, which comprises the primary storzg-~ 21 ISls8 elements described above. The output from the output data latch LDOUT may similarly pass through an arbitrary and optional combinatorial logic circuit B2 before being passed onwarà as OUT_DATA to the next device downstream. This may be another pipeline stage or any other device connected to the pipeline.
In the practice of the present invention, each stage of the pipeline also includes a validation input latch LVIN, a validation output latch LVOUT, an acceptance input latch 13 LAIN, and an acceptance output latch LAOUT. Each of these four latches is, preferably, a simple, single-stage latch.
The outputs from latches LVIN, LVOUT, LAIN and LAOUT are, respectively, QVIN, QVOUT, QAIN, QAOUT. The output signal QVIN from the validation input latch is connected either 1~ directly as an input to the validation output latch LVOUT, or via intermediate logic devices or circuits that may alter the s lgna 1 .
Similarly, the output validation signal QVOUT of a given stage may be connected either directly to the input of the validation input latch QVIN of the following stage, or via intermediate devices or logic circuits, which may alter the validation signal. This output QVIN is also connected to a logic gate (to be described below), whose output is connected to the input of the acceptance input latch LAIN. The output 2~ ~AOUT from the acceptance output latch LAOUT is connected to a similar logic gate (described below), optionally via another logic gate.
As shown in Fig. ~, the output validation signal ~VOU, forms an OUT VALID signa that can be received by subsequent ~u s.ages as an IN VALID signal, or simply to indicate valid data to subsequent circuity connected to the pipeline. The readiness cf the following circuit or stage to accept data is indicate~ to each stage as the signal OUT ACCEPT, whick is connecte~ as the input to the acceptance output latch LAOUT, 214~158 preferably via logic circuitry, which is described below.
slmilarly, the output QAOUT of the acceptance output latch LAOUT is connected as the input to the acceptance input latch LAIN, preferably via logic circuitry, which is described 5 below.
In practicing the present invention, the output signals QVIN, QVOUT from the validation latches LVIN, LVO~T are combined with the acceptance signals QAOUT, OUT ACCEPT, respectively, to form the inputs to the acceptance latches LAIN, LAOUT, respectively. In the embodiment illustrated in Fig. 4, these input signals are formed as the logical NAND
combination of the respective validation signals QVIN, QVOUT, with the logical inverse of the respective acceptance output signals QAOUT, OUT_ACCEPT. Conventional logic gates, NAND1 and NAND2, perform the NAND operation, and the inverters INV1, INV2 form the logical inverses of the respective acceptance signals.
As is well known in the art of digital design, the output from a NAND gate is a logical "1" when any or all of its lnput signals are in the logical "O" state. The output from a NAND gate is, therefore, a logical "0" only when all of its inputs are in the logical "1" state. Also well known in the art, lS that the output of a digital inverter such as INvl is a logical "1" when its input signal is a "0" and is a l'0'' when its input signal is a "1"
The inputs to the NAND gate NAND1 are, therefore, QVIN and NOT (QAO~'T), where "NOT" indicates binary inversion. Using known techniques, the input to the acceptance latch LAIN can be resolved as follows:
NAND(QVIN~NOT(QAO~T)) = NOT(QVIN) OR QAO~T
In other words, the combination of the inverter INVl and the rl~ND gate NAND1 is a logical "1" either when the signal ~vIN is a "0" or the signal QAOUT is a "1", or both. T~.e gate NAND1 and the inverter INV1 can, therefore, be ` 2145158 .~_ implemented by a single OR gate that has one of its inputs tied directly to the QAOUT output of the acceptance latch LAO~T and its other input tied to the inverse of the output signai QVIN of the validation input latch LVIN.
As is well known in the art of digital design, many latches suitable for use as the validation and acceptance latches may have two outputs, Q and NOT(Q), that is, Q and its logical inverse~ If such latches are chosen, the one input to the OR gate can, therefore, be tied directly to the NOT(Q) output of the validation latch LVIN. The gate NANDl and the inverter INV1 can be implemented using well known conventional techniques. Depending on the latch architecture used, however, it may be more efficient to use a latch without an inverting output, and to provide instead the gate NAND1 and the inverter INVl, both of which also can be lmplemented efficiently in a silicon device. Accordingly, any known arrangement may be used to generate the Q signa and/or its logical inverse.
The data and validation latches LDIN, LDOUT, LVIN and LVO~T, load their respective data inputs when both clock signals (PH0 at the input side and PH1 at the output side) and the output from the acceptance latch of the same side are logical "1". Thus, the clock signal (PHO for the input latches LDIN and LVIN) and the output of the respective 2~ acceptance latch (in this case, LAIN) are used in a logical AND manner and data is loaded only when they are both logical " 1 " .
In particular applications, such as CMOS implementations of the latches, the logical AND operation that controls the loading (via the illustrated CK or enabling "input") of the latches can be implemented easily in a conventional manner by connecting the respective enabling input signals (for example, PHO and QAIN for the latches LVIN and LDIN), ~o the gates of MOS transistors connected in series in the input .~

lines of the latches. Consequently, is necessary to provide an actual logic AND gate, which might cause problems of timing due to propagation delay in high-speed applications.
The AND gate shown in the figures, therefore, only indicates the logical function to be performed in generating the enable signals of the various latches.
Thus, the data latch LDIN loads input data only when PH0 and QAIN are both "l". It will latch this data when either of these two signals goes to a "0".
Although only one of the clock phase signals PH0 or PHl, is used to clock the data and validation latches at the input (and output) side of the pipeline stage, the other clock phase signal is used, directly, to clock the acceptance latch at the same side. In other words, the acceptance latch on either side (input or output) of a pipeline stage is preferably clocked "out of phase" with the data and validation latches on the same side. For example, PH1 is used to clock the acceptance input latch, although PH0 is used in generating the clock signal CK for the data latch LDIN and the validation latch LVIN.
As an example of the operation of a pipeline augmented by the two-wire validation and acceptance circuitry assume that no valid data is initially presented at the input to the circuit, either from a preceding pipeline stage, or from a 2~ transmission device. In other words, assume that the validation input slgnal IN_VALID to the illustrated stage has not gone to a "1" since the system was most recently reset.
- Assume further that several clock cycles have taken place since the system was last reset and, accordingly, the .C clrcuitry has reached a steady-state condition. The validation input signal QVIN from the validation latch LvIr~
lS, therefore, ioaded as a "0" during the next posit ve period of the clock PH0. The input to the acceptance input latch LAIr1 (via the gate NAND1 or another equivalent gate~, 21~5158 is, therefore, loaded as a "1" during the next positive period of the clock signal PH1. In other words, since the data in the data input latch LDIN is not valid, the stage signals that it is ready to accept input data (since it does not hold any data worth saving).
In this example, note that the signal IN ACCEPT is used to enable the data and validation latches LDIN and LVIN. Since the signal IN_ACCEPT at this time is a "1", these latches effectively work as conventional transparent latches so that i0 whatever data is on the IN_DATA bus simply is loaded into the data latch LDIN as soon as the clock signal PH0 goes to a "1". Of course, this invalid data will also be loaded into the next data latch LDOUT of the following pipeline stage as long as the output QAOUT from its acceptance latch is a "1".
i5 Hence, as long as a data latch does not contain valid data, it accepts or "loads" any data presented to it during the next positive period of its respective clock signal. On the other hand, such invalid data is not loaded in any stage for ~hich the acceptance signal from its corresponding ~5 acceptance latch is low (that is, a "0"). Furthermore, the output signal from a validation latch (which forms the validation input signal to the subsequent validation latch) remains a "0" as long as the corresponding IN_VALID (or QVIN) signal to the validation latch is low.
~hen the input data to a data latch is valid, the validation signal IN_VALID indicates this by rising to a "1".
~he output of the corresponding validation latch then rises ~o a "1" on thè next rising edge of its respective clock phase signal. For example, the validation input signal QVI~;
, of ~atch LVIN rises to a "1" when its corresponding IN_V~LIP
sl~r.al goes high (that is, rises to a "1") on the next risir.
eàge of the clock phase signal PH0.
Assu~e now, instead, that the data input latch LDI~
contains valid data. If the data output latch LDO~T is ready 214SlS8 to accept new data, its acceptance signal QAOUT will be a "1". In this case, during the next positive period of the clock signal PH1, the data latch LDOUT and validation latch ,VOUT will be enabled, and the data latch LDOUT will load the 5 data present at its input. This will occur before the next rising edge of the other clock signal PH0, since the clock signals are non-overlapping. At the next rising edge of PH0, the preceding data latch (LDIN) will, therefore, not latch in new input data from the preceding stage until the data output latch LDOUT has safely latched the data transferred from the latch LDIN.
Accordingly, the same sequence is followed by every adjacent pair of data latches (within a stage or between adjacent stages) that are able to accept data, since they will be operating based on alternate phases of the clock.
Any data latch that is not ready to accept new data because it contains valid data that cannot yet be passed, will have an output acceptance signal (the QA output fro~ ltS
acceptance latch LA) that is LOW, and its data latch LDIN or ~3 LDO~T will not be loaded. Hence, as long as the acceptance slgnal (the output from the acceptance latch) of a given s'age or side (input or output) of a stage is LOW, its corresponding data latch will not be loaded.
Fig. 4 also shows a reset feature included in a preferred embodlment. In the illustrated example, a reset signal NOTRESET0 is connected to an inverting reset input R
(inversion is hereby indicated by a small circle, as is conventional) of the validation output latch LVOUT. As is well known, this means that the validation latch LVOUT will ~e forced to output a "0" whenever the reset signal NOTRESET0 becomes a "0". One advantage of resetting the latch when ~he reset signal goes low (becomes a "0") is that a break in _ransmission will reset the latches. They wiil then be in eir "null~ or reset state whenever a valid transmission begins and the reset signal goes HIGH. The reset signal NOTRESET0, therefore, operates as a digital "ON/OFF" switch, such that it must be at a HIGH value in order to activate the pipeline.
Note that it is not necessary to reset all of the latches that hold valid data in the pipelir,e. As depicted in Fig. 4, the validation input latch LVIN is not directly reset by the reset signal NOTRESET0, but rather is reset indirectly.
Assume that the reset signal NOTRESET0 drops to a "O". The validation output signal QVOUT also drops to a "0", regardless of its previous state, whereupon the input to the acceptance output latch LAOUT (via the gate NANDl) goes HIGH.
The acceptance output signal QAOUT also rises to a "1". This QAOUT value of "1" is then transferred as a "1" to the input of the acceptance input latch LAIN regardless of the state of the validation input signal QVIN. The acceptance input signal QAIN then rises to a "1" at the next rising edge of the clock signal PH1. Assuming that the validation signai IN_JALID has been correctly reset to a "0", then upon the subsequent rising edge of the clock signal PH0, the output from the validation latch LVIN will become a "0", as it would have done if it had been reset directly.
As this example illustrates, it is only necessary to reset the validation latch in only one side of each stage ~5 (including the final stage) in order to reset all validation latches. In fact, in many applications, it will not he necessary to reset every other validation latch: If the reset signal NOTRESET0 can be guaranteed to be low during ~ore than one complete cycle of both phases PH0, PH1 of the ,G clock, then the "automatic reset" (a backwards propagatiOn of the reset signal) will occur for validation latches i~.
?receding pipeline stages. Indeed, if the reset signal is held low for at least as many full cycles of both phases of t~e clock as there are plpeline stages, it will onl~ be .

necessary to directly reset the validation output latch in the final pipeline stage.
Figs. 5a and 5b (referred to collectively as Fig. 5) illustrate a timing diagram showing the relationship between the non-overlapping clock signals PH0, PH1, the effect of the reset signal, and the holding and transfer of data for the different permutations of validation and acceptance signals into and between the two illustrated sides of a pipeline stage configured in the embodiment shown in Fig. 4. In the example illustrated in the timing diagram of Fig. 5, it has been assumed that the outputs from the data latches LDIN, LDOUT are passed without further manipulation by intervening logic blocks B1, B2. This is by way of example and not necessarily by way of limitation. It is to be understood that any combinatorial logic structures may be included between the data latches of consecutive pipeline stages, or between the input and output sides of a single plpeline stage. The actual illustrated values for the input data (for example the HEX data words "aa" or "04") are also merely ~o illustrative. As is mentioned above, the input data bus ~ay have any width (and may even be analog), as long as the data latches or other storage devices are able to accommodate and latch or store each bit or value of the input word.

Preferred Data Structure - "tokens"
In the sample application shown in Fig. 4, each stage processes all input data, since there is no control circuitry that excludes any stage from allowing input data to pass through its combinatorial logic block Bl, B2, and so forth.
To provide greater flexibility, the present invention .0 lncludes a data structure in which ~tokens~ are used to distribute data and control information throughout the system. Each token consists of a series of binary bi.s separated into one o- more blocks of token words.

21~5158 Furthermore, the bits fall into one of three types: address bits (A), data bits (D), or an extension bit (E). Assume by way of example and, not necessarily by way of limitation, that data is transferred as words over an 8-bit bus with a 1-5 bit extension bit line. An example of a four-word token is, in order of transmission:

First word: E A A A D DDDD
Second word: E DDDDDDDD
Third word: E DDDDDDDD
i~ Fourth word: E DDDDDDDD

Note that the extension bit E is used as an addition (preferably) to each data word. In addition, the address field can be of variable length and is preferably transmitted just after the extension bit of the first word.
Tokens, therefore, consist of one or more words of (binary) digital data in the present invention. Each of these words is transferred in sequence and preferably in parallel, although this method of transfer is not necessary:
serial data transfer is also possible using known techniques.
For example, in a video parser, control information is transmitted in parallel, whereas data is transmitted serially.
As the example illustrates, each token has, preferably at the start, an address field (the string of A-bits) .hat identifies the type of data that is contained in the token.
In most applications, a single word or portion of a word is sufficient to transfer the entire address field, but thls is not necessary in accordance with the invention, so long 35 loglc circuitry is included in the corresponding pipeline ~i~ stages tnat is able to store some representation of partial address fields long enough for the stages to receive ar.d decode the entlre address field.

214~15~
.

Note that no dedicated wlres or registers are required to transmit the address field. It is transmitted using the data bits. As is explained below, a pipeline stage will not bé
slowed down if it is not intended to be activated by the particular address field, i.e., the stage will be able to pass along the token without delay.
The remainder of the data in the token following the address field is not constrained by the use of tokens. These D-data bits may take on any values and the meaning attached to these bits is of no importance here. That is, the meaning of the data can vary, for example, depending upon where the data is positioned within the system at a particular point in time. The number of data bits D appended after the address field can be as long or as short as required, and the number of data words in different tokens may vary greatly. The address field and extension bit are used to convey control signais to the pipeline stages. Because the number of ~ords _n the data field (the string of D bits) can be arbitrary, as can be the information conveyed in the data field can also vary accordingly. The explanation below is, therefore, dlrected to the use of the address and extension bits.
In the present invention, tokens are a particularly useful data structure when a number of blocks of circuitry are connected together in a relatively simple configuration. The simplest configuration is a pipeline of processing steps.
For example, in the one shown in Fig. 1. The use of toker.s, however, is not restricted to use on a pipeline structure.
Assume once again that each box represents a complete p peline stage. In the pipeline of Fig. 1, data flows f~m left to right in the diagram. Data enters the machine and passes lnto processing Stage A. This may or may not moàlfy the data and it then passes the data to Stage B. The modiflcation~ i~ any, may be arbitrarily complicated ar,d, i~
qeneral, there will not be the same number of data ite~S

` 214S158 `

flowing into any stage as flow out. Stage B modifies the data again and passes it onto Stage C, and so forth. In a scheme such as this, it is impossible for data to flow in the opposite direction, so that, for example, Stage C cannot pass data to Stage A. This restriction is often perfectly acceptable.
On the other hand, it is very desirable for Stage A to be able to communicate information to Stage C even though there is no direct connection between the two blocks. Stage A and ;o C communication is only via Stage B. One advantage of the tokens is their ability to achieve this kind of communication. Since any processing stage that does not recognize a token simply passes it on unaltered to the next block.
;~ According to this example, an extension bit is transmitted along with the address and data fields in each token so that a processlng stage can pass on a token (which can be cf arbltrary length) without having to decode its address at all. According to this example, any token in which the .~ extension bit is HIGH (a "1") is followed by a subsequent word which is part of the same token. This word also has an extension bit, which indicates whether there is a further token word in the token. When a stage encounters a token word whose extenslon bit is LOW ~a "0"), it is known to be 2~ the last word of the token. The next word is then assumed to be the first word of a new token.
~ ote that although the simple pipeline of processlng stages is particularly useful, it will be appreciated that .okens may be applied to more complicated configurations of ,Ci processing elements. An example of a more complicated process;ng element is described below.
It is not necessary, in accordance with the present ~nvention, to use the state of the extension bit to sigr,aI
the last ~ord of a given token by giving it an extension bit 214~158 .

set to "O". One alternatlve to the preferred scheme is to move the extension bit so that it indicates the first word of a token instead of the last. This can be accomplished with appropriate changes in the decoding hardware.
The advantage of using the extension bit of the present invention to signal the last word in a token rather than the first, is that it is often useful to modify the behavior of a block of circuitry depending upon whether or not a token has extension bits. An example of this is a token that 1~ activates a stage that processes video quantization values stored in a quantization table (typically a memory device).
For example, a table containing 64 eight-bit arbitrary binary integers.
In order to load a new quantization table into the 1~ quantizer stage of the pipeline, a "QUANT TABLE" token is s,ent to the quantizer. In such a case the token, for example, conslsts of 65 token words. The first word conta ns the code "QUANT_TABLE", i.e., build a quantization table.
This is followed by 64 words, which are the integers of the 2, quantization table.
When encoding video data, it is occasionally necessary to transmit such a quantization table. In order to accomplish this function, a QUANT_TABLE token with no extension words can be sent to the quantizer stage. On seeing this token, 2~ and noting that the extension bit of its first word is LOW, the quantizer stage can read out its quantization table and construct a QUANT_TABLE token which includes the 6Y
quantization table values. The extension bit of the first ~ord (which was LOW) is changed so that it is HIGH and the .v token continues, with HIGH extension bits, until the new end of ~he token, indicated by a LOW extension bit on the siXtJ
fourth quantization table value. This proceeds in the typical way through the system and is encoded into ~he ~1-strea~.

214515~
~, Continuing with the example, the quantizer may either load a new quantization table into its own memory device or read out its table depending on whether the first word of the QUANT_~ABLE token has its extension bit set or not.
S The choice of whether to use the extension bit to signal the first or last token word in a token will, therefore, depend on the system in which the pipeline will be used.
Both alternatives are possible in accordance with the invention.
Another alternative to the preferred extension bit scheme is to include a length count at the start of the token. Such an arrangement may, for example, be efficient if a token is very long. For example, assume that a typical token in a given application is lO00 words long. Using the illustrated i5 extension bit scheme (with the bit attached to each token word), the token would require lO00 additional bits to contain all the extension bits. However, only ten bits would be required to encode the token length in binary form.
Although there are, therefore, uses for long tokens, 2C experience has shown that there are many uses for short tokens. Here the preferred extension bit scheme is advantageous. If a token is only one word long, then only one bit is required to signal this. However, a counting scheme would typically require the same ten bits as before.
Disadvantages of a length count scheme include the following: 1) it is inefficient for short tokens; 2) l.
places a maximum length restriction on a token (with only ten bits, no more than 1023 words can be counted); 3) the length cf a token must be known in advance of generating the count o (~hich is presumably at the start of the token); ~) eve~y block of circuitry that deals with tokens would need to be prov_ded with hardware to count words; and 5) if the count should get corrupted (due to a data transmission error) it is not c1ear whether recovery can be achieved.

21~5158 .

The advantages of the extension bit scheme in accordance with the present invention include: 1) pipeline stages need not include a block of circuitry that decodes every token since unrecognized tokens can be passed on correctly by considering only the extension bit; 2) the coding of the extension bit is identical for all tokens; 3) there is no limit placed on the length of a token; 4) the scheme is efficient (in terms of overhead to represent the length of the token) for short tokens; and 5) error recovery is naturally achieved. If an extension bit is corrupted then one random token will be generated (for an extension bit corrupted from "1" to "O".) or a token will be lost (extension bit corrupted "O" to "1"). Furthermore, the problem is localized to the tokens concerned. After that token, correct operation is resumed automatically.
In addition, the length of the address field r,ay be varied. This is highly advantageous since it allows the most common tokens to be squeezed into the minimum number cf words. This, in turn, is of great importance in video data 2C pipeline systems since it ensures that all processing stages can be continuously running at full bandwidth.
In accordance to the present invention, in order to allow variable length address fields, the addresses are chosen so . that a short address followed by random data can never be 2, confused~with a longer address. The preferred technique for encoding the address field (which also serves as the "code~' for activating an intended pipeline stage) is the well-known technique first described by Huffman, hence the common name "Huffman Code". ~evertheless, it will be appreciated by one ,^ cf Grdinary skill in the art, that other coding schemes ,m2y also be successfully employed.
~ ithough Huffman encoding is well understood in the fie~d Gf digitai design, the following example provides a general background:

21451~8 Huffman codes consist of words made up of a string of symbols (in the context of diyital systems, such as the present invention, the symbols are usually binary digits).
Ihe code words may have variable length and the special property of Huffman code words is that a code word is chosen so that none of the longer code words start with the symbols that form a shorter code word. In accordance with the invention, token address fields are preferably (although not necessarily) chosen using known Huffman encoding techniques.
Also in the present invention, the address field preferably starts in the most significant bit (MSB) of the first word token. (Note that the designation of the MSB is arbitrary and that this scheme can be modified to accommodate various designations of the MSB.) The address field i-i continues through contiguous bits of lesser significance.
If, in a given application, a token address requires more than one token word, the least significant bit in any given word the address field will continue in the most significant blt of the next word. The minimum length of the address field is one bit.
Any of several known hardware structures can be used to generate the tokens used in the present invention. One such structure is a microprogrammed state machine. However, known microprocessors or other devices may also be used.
The principle advantage of the token scheme in accordance with the present invention, is its adaptability -o unanticipated needs. For example, if a new token is lntroduced, it is most likely that this will affect only a small number of pipeline stages. The most likely case is O that only tWO stages or blocks of circuitry are affecte~, i.e., ~he one block that generates the tokens in the first place and the block or stage that has been newly designe~ or modlfled to deal with this new token. Note that it is not necessary to modify any other pipeline stages. Rather, these 21~5158 will be able to deal with the new token without modification to their designs because they will not recognize it and wlll, accordingly, pass that token on unmodified.
This ability of the present invention to leave substantially existing designed devices unaffected has clear advantages. It may be possible to leave some semiconductor chips in a chip set completely unaffected by a design improvement in some other chips in the set. This is advantageous both from the perspective of a customer and from ~0 that of a chip manufacturer. Even if modifications mean that all chips are affected by the design change (a situation that becomes increasingly likely as levels of integration progress so that the number of chips in a system drops) there will still be the considerable advantage of better time-to-market ~, than can be achieved, since the same design can be reused.
In particular, note the situation that occurs when it becomes necessary to extend the token set to include two word addresses. Even in this case, it is still not necessary to modify an existing design. Token decoders in the pipeline stages will attempt to decode the first word of such a token and wlll conclude that it does not recognize the token. It ~ill then pass on the token unmodified using the extension bi, to perform this operation correctly. It will not attempt to decode the second word of the token (even though this 2_ contains address bits) because it will "assume" that the second word is part of the data field of a token that it does not recognize.
In many cases, a pipeline stage or a connected block cf circuitry will modify a token. This usually, but not ,o necessarily, takes the form of modifying the data field of a token. In addition, it is common for the number of data wcrds in the token to be modified, either by removing certain data ~ords or by adding new ones. In some cases, tokens are removed entirely from the token stream.

In most applications, pipeline stages will typically only decode (be activated by) a few tokens; the stage does not recognize other tokens and passes them on unaltered. In a large number of cases, only one token is decoded, the DATA
Token word itself.
In many applications, the operation of a particular stage will depend upon the results of its own past operations. The "state" of the stage, thus, depends on its previous states.
In other words, the stage depends upon stored state i~ information, which is another way of saying it must retain some information about its own history one or more clock cycles ago. The present invention is well-suited for use in pipelines that include such "state machine" stages, as well as for use in applications in which the latches in the data 1~ path are simple pipeline latches.
The suitability of the two-wire interface, in accordance with the present invention, for such "state machine" circults is a significant advantage of the invention. This is especially true where a data path is being controlled by a 2~ state machine. In this case, the two-wire interface technlque above-described may be used to ensure that the "current state" of the machine stays in step with the data which it is controlling in the pipeline.
Fig. 6 shows a simplified block diagram of one example of 2~ circuitry included in a pipeline stage for decoding a token address field. This illustrates a pipeline stage that has the characteristics of a "state machine". Each word of a token includes an "extension bit" which is HIGH if there are more words in the token or LOW if this is the last word of .~ ~he ~oken. If this is the last word of a token, the next valid data word is the start of a new token and, therefore, its address r,ust be decoded. The decision as to whether or no' tO decode the token address in any given word, thus, depends upon knowing the value of the previous extension blt.

. ~ . 2195158 For the sake of simplicity only, the two-wire interface (with the acceptance and validation signals and latches) is not illustrated and all details dealing with resetting the circuit are omitted. As before, an 8-bit data word is assumed by way of example only and not by way of limitation.
This exemplifying pipeline stage delays the data bits and the extension bit by one pipeline stage. It also decodes the DATA Token. At the point when the first word of the DATA
Token is presented at the output of the circuit, the signal "DATA ADDR" is created and set HIGH. The data bits are delayed by the latches LDIN and LDOUT, each of which is repeated eight times for the eight data bits used in this example (corresponding to an 8-input, 8-output latch).
Similarly, the extension bit is delayed by extension bit latches LEIN and LEOUT.
In this example, the latch LEPREV is provided to store the r,ost recent state of the extension bit. The value of .he extension bit is loaded into LEIN and is then loaded into LEO~'T on the next rising edge of the non-overlapping clock . phase signal PHl. Latch LEOUT, thus, contains the value of the current extension bit, but only during the second half of the non-overlapping, two-phase clock. Latch LEPREV, however, loads this extension bit value on the next rising edge of the clock signal PHO, that is, the same signal that enables the extension bit input latch LEIN. The output QEPREV of the latch LEPREV, thus, will hold the value of the extension bit during the previous PHO clock phase.
The five bits of the data word output from the invertinq Q output, plus the non-inverted MD~2j, of the latch LDIN are .5 co~,bined with the previous extension bit value QEPREV in a series of logic gates NAND1, NAND2, and NORl, whose GDerations are well known in the art of digital design. The designation ~N MD;m~ indicates the logical inverse of bit cf the ~id-data word MD[7:0;. ~'sing known techniques cf '_ 214S158 Boolean algebra, it can be shown that the output signal SA
from this logic block (the output from NOR1) is HIGH (a "1") only when the previous extension bit is a "O" (QPREV="O") and the data word at the output of the non-inverting Q latch (the original input word) LDIN has the structure "000001xx", that is, the five high-order bits MD[7]-MD[3] bits are all "0" and the bit MDL2] is a "1" and the bits in the Zero-one positions have any arbitrary value.
There are, thus, four possible data words (there are four G per~utations of "xx") that will cause SA and, therefore, the output of the address signal latch LADDR to whose input SA is connected, to become HIGH. In other words, this stage provides an activation signal (DATA ADDR = "1") only when one of the four possible proper tokens is presented and only when the previous extension bit was a zero, that is, the previous data word was the last word in the previous series of to~en words, which means that the current token word is the first one in the current token.
~ hen the signal QPREV from latch LEPREV is LOW, the value at the output of the latch LDIN is therefore the first word of a new token. The gates NAND1, NAND2 and NOR1 decode the DATA token (000001xx). This address decoding signal SA is, however, delayed in latch LADDR so that the signal DATA_ADDR
has the same timing as the output data OUT_DATA and OUT_EXTN.
2~ Fig. 7 is another simple example of a state-dependent pipeline stage in accordance with the present inventicr"
which generates the signal LAST_OUT_EXTN to indicate the value of the previous output extension bit OUT EXTN. One of the two enabling signals (at the CK inputs) to the present ,G and last extension bit latches, LEOUT and LEPREV, respectively, is derived from the gate AND1 such that .hese latches only load a new value for them when the data is valid and is being accepted (the Q outputs are HIGH frc~l the output validation and acceptance latches L~OUT and LA~UT, ~_ 21~5158 respectively). In this way, they only hold valid extension bits and are not loaded with spurious values associated with data that is not valid. In the embodiment shown in Fig. 7, the two-~ire valid/accept logic includes the OR1 and OR2 5 gates with input signals consisting of the downstream acceptance signals and the invertin~ output of the validation latches L~IIN and LVOUT, respectively. This illustrates one way in which the gates NAND1/2 and INV1/2 in Fig. 4 can be replaced if the latches have inverting outputs.
O Although this is an extremely simple example of a "state-dependent" pipeline stage, i.e., since it depends on the state of only a singlé bit, it is generally true that all latches holding state information will be updated only when data is actually transferred between pipeline stages. In other words, only when the data is both valid and being accepted by the next stage. Accordingly, care must be taken to ensure that such latches are properly reset.
~ he generation and use of tokens in accordance with ~he present invention, thus, provides several advantages over 20 known encoding techniques for data transfer through a pipeline.
Flrst, the tokens, as described above, allow for variable length address fields (and can utilize Huffman coding for example) to provide efficient representation of common 2~ tokens.
Second, consistent encoding of the length of a token allows the end of a token (and hence the start of the ne~t token) to be processed correctly (including simple non-..anipulative transfer), even if the token is not recognized ,o by the token decoder circuitry in a given pipeline stage.
.hird, rules and hardware structures for the handlin~ of unrecognized tokens (that is, for passing them on unmodifiedi allow communication between one stage and a downstream s;age tkat lS not its nearest neighbor in the pipeline. ~h~s 2 ~co increases the expandability and efficient adaptability of the pipeline since it allows for future changes in the token set without requiring large scale redesigning of existing pipeline stages. The tokens of the present invention are particularly useful when used in conjunction with the two-wire interface that is described above and below.
As an example of the above, Figs. 8a and 8b, taken together (and referred to collectively below as Fig. 8), depict a block diagram of a pipeline stage whose function is as follows. If the stage is processing a predetermined token (known in this example as the DATA token), then it will duplicate every word in this token with the exception of the first one, which includes the address field of the DATA
token. If, on the other hand, the stage is processing any other kind of token, it will delete every word. The overall effect is that, at the output, only DATA Tokens appear ar,d each word within these tokens is repeated twice.
'Many of the components of this illustrated system may be the same as those described in the much simpler structures 2, shown in Figs. ., 6, and 7. This illustrates a significant advantage. More complicated pipeline stages will still enjoy the same benefits of flexibility and elasticity, since the sa,..e two-wire interface may be used with little or no adaptation.
,. The data duplication stage shown in Fig. 8 is merely one example of the endless number of different types of operations that a pipeline stage could perform in any given application. This "duplication stage" illustrates, however, a stage that can form a "bottleneck", so that the pipeline ac-ording tO this embodiment will "pack together".
~ ~bottleneck~ can be any stage that either takes a relatively iong time tO perform its operations, or that creates more data in the pipeline than it receives. Ih~s exampie also illustrates that the two-wire accept/v~alid 21~S158 interface according to this embodiment can be adapted very easily to different applications.
The duplication stage shown in Fig. 8 also has two latches LEIN and LEOUT that, as in the example shown in Fig. 6, latch the state of the extension bit at the input and at the output of the stage, respectively. As Fig. 8a shows, the input extension latch LEIN is clocked synchronously with the input data latch LDIN and the validation signal IN_VALID.
For ease of reference, the various latches included in the iO duplication stage are paired below with their respective output signals:

In the duplication stage, the output from the data latch LDIN forms intermediate data referred to as MID_DATA. This intermediate data word is loaded into the data output latch , ~DOUT only when an intermediate acceptance signal ~labeled "~ID .~CCEPT" in Fig. 8a) is set HIGH.
The portion of the circuitry shown in Fig. 8 below the acceptance latches LAIN, LAOUT, shows the circuits that are added to ~he basic pipeline structure to generate the various 21~51~8 `~' internal control signals used to duplicate data. These include a "DATA_TOKEN" signal that indicates that the circuitry is currently processing a valid DATA Token, and a NOT_D~PLICATE signal which is used to control duplication of data. When the circuitry is processing a DATA Token, the NOT_DUPLICATE signal toggles between a HIGH and a LOW state and this causes each word in the token to be duplicated once (but no more times). When the circuitry is not processing a valid DATA Token then the NOT DUPLICATE signal is held in a HIGH state. Accordingly, this means that the token words that are being processed are not duplicated.
As Fig. 8a illustrates, the upper six bits of 8-bit intermediate data word and the output signal QIl from the latch LIl form inputs to a group of logic gates NORl, NOR2, 1~ NAND18. The output signal from the gate NAND18 is labeled S1. ~sing ~ell-known Boolean algebra, it can be shown that the signal S1 is a "0" only when the output signal QI1 is a "1" and the MID DATA word has the following structure:
"000001xx", that is, the upper five bits are all "0", the bit MID_DATA[2] is a "1" and the bits in the MID_DATA 1~ and MID_DATA[0] positions have any arbitrary value. Signal S1, therefore, acts as a "token identification signal" which is low only when the MID_DATA signal has a predetermined structure and the output from the latch LIl is a "1". The nature of the latch LIl and its output QIl is explained further below.
Latch LOl performs the function of latching the last value of the intermediate extension bit (labeled ~MID EXTN" and as signal 54), and it loads this value on the next rising edge 0 of the clock phase PHO into the latch LI1, whose outpu~ is the blt QI1 and is one of the inputs to the token decodina logi. group that forms signal Sl. Signal Sl, as is explain~d above, may only drop to a "0" if the signal QIl is a "1" (and the MID_DATA signal has the predetermined structure). Signal ~1 ,, S1 may, therefore, only drop to a "O" whenever the last extension bit was "0", indicating that the previous token has ended. Therefore, the MID_DATA word is the first data word in a new token.
The latches LO2 and LI2 together with the NAND gates NAND20 and NAND22 form storage for the signal, DATA_TOKEN.
In the normal situation, the signal QIl at the input to NAND20 and the signal S1 at the input to NAND22 will both be at logic "1". It can be shown, again by the techniques of ~0 3Oolean algebra, that in this situation these NAND gates operate in the same manner as inverters, that is, the signal QI2 from the output of latch LI2 is inverted in NAND20 and then this signal is inverted again by NAND22 to form the signal S2. In this case, since there are two logical inversions in this path, the signal 52 wili have the same value as QI2.
It can also be seen that the signal DATA_TOKEN at the output of latch LO2 forms the input to latch LI2. As a result, as long as thé situation remains in which both QI1 and S1 are HIGH, the signal DATA_TOKEN will retain its state (whether "0" or "1"). This is true even though the clock signals PHO and PH1 are clocking the latches (LI2 and LO2 respectively). The value of DATA_TOKEN can only change when . one or both of the signals QI1 and S1 are "0".
2~ As explained earlier, the signal QI1 will be "0" when the previous extension bit was "0". Thus, it will be "0"
whenever the MID_DATA value is the first word of a token (and, thus, includes the address field for the token). ~n Ihls situation, the signal Sl may be either "0" or "1". As ;~ explained earlier, signal S1 will be "0" if the MID_DATA word has the predetermined structure that in this example ind1cates a ~DATA~ Token. If the MID_DATA word has any other s.ructure, (indicating that the token is some other token, not a DATA Token), S1 will be "1".

`~_ If QI1 is "0" and Sl is "1", this indicates there is some token other than a DATA Token. As is well known in the field of digital electronics, the output of NAND20 will be "1".
The NAND gate NAND22 will invert this (as previously explained) and the signal S2 will thus be a "0". As a result, this "0" value will be loaded into latch LO2 at the start of the next PH1 clock phase and the DATA_TOKEN signal will become "0", indicating that the circuitry is not processing a DATA token.
If QI1 is "0" and SO is "0", thereby indicating a DATA
token, then the signal S2 will be "1" (regardless of the other input to NAND22 from the output of NAND20). As a result, this "1" value will be loaded into latch LO2 at the start of the next PH1 clock phase and the DATA TOKEN signal wlll become "1", indicating that the circuitry is processing a DATA token.
The NOT_DUPLICATE signal (the output signal QO3) lS
slmilarly loaded into the latch LI3 on the next rising edge of the clock PHO. The output signal QI3 from the latch LI3 is combined with the output signal QI2 in a gate NAND24 to form the signal S3. As before, Boolean algebra can be used to show that the signal S3 is a "0" only when both of the signals QI2 and QI3 have the value "1". If the signal QI2 becomes a "0", that is, the DATA TOKEN signal is a "0", then the signal S3 becomes a "1". In other words, if there is not a valid DATA TOKEN (QI2 = 0) or the data word is not a duplicate (QI3 = 0), then the signal S3 goes high.
Assume now, that the DATA TOKEN signal remains HIGH for more than one clock signal. Since the NOT_DUPLICATE signaL
' ~QO3) is ~fed back" to the latch LI3 and will be inverted ~y the gate ~AND 24 (since its other input QI2 is held HIC-H), the output signal QO3 will toggle between "0" and "1". I~
there is no valid DATA Token, however, the signal QI2 ~l~L ~-a "0", and the signal 53 and the output QO3, will be fcrced 21~5158 `

HIGH until the DATE_TOKEN signal once again goes to a "1".
The output Q03 (the NOT DUPLICATE signal) is also fed back and is combined with the output QA1 from the acceptance latch LAIN in a series of logic gates (NAND16 and INV16, which together for~ an AND gate) that have as their output a "1", only when the signals QA1 and Q03 both have the value "1".
As Fig. 8a shows, the output from the AND gate (the gate NAND16 followed by the gate INV16) also forms the acceptance signal, IN_ACCEPT, which is used as described above in the 0 two-wire interface structure.
The acceptance signal IN_ACCEPT is also used as an enabling signal to the latches LDIN, LEIN, and ~VIN. As a result, if the NOT_DUPLICATE signal is low, the acceptance signal IN_ACCEPT will also be low, and all three of these 1~ iatches will be disabled and will hold the values stored at their outputs. The stage will not accept new data untll the ~iOT_DUPLICATE signal becomes HIGH. This is in addition _o .he requirements described above for forcing the output from the acceptance latch LAIN high.
2~ As long as there is a valid DATA_TOKEN (the DATA_TO~EN
signai Q02 is a "1"), the signal Q03 will toggle between the HIGH and LOW states, so that the input latches will be enabled and will be able to accept data, at most, during every other complete cycle of both clock phases PHO, PH1.
2~ The addltional condition that the following stage be prepared to accept data, as indicated by a "HIGH" OUT_ACCEPT signa , must, of course, still be satisfied. The output latch LDO~T
will, therefore, place the same data word onto the output bus OUT_DATA for at least two full clock cycles. The OUT_~-ALI~
3G signal will be a "1" only when there is both a val d 3A.A_~CKE~ (Qo2 H GH) and the validation signal QVOUT LS
... ..
nlGrl .
The signal QEI.i, wh!ch is the extension bit correspondi~g ~o MID_~A\TA, is cor,bined with the signal S3 in a series of 214~1S8 logic gates (INV10 and NANDl0) to form a signal S4. During presentation of a DATA Token, each data word MID_DATA will be repeated by loading it into the output latch LDOUT twice.
During the first of these, S4 will be forced to a "1" by the action of NAND10. The signal S4 is loaded in the latch LEOUT
to form OUTEXTN at the same time a~ MID_DATA is loaded into LDOUT to form OUT_DATA[7:0].
Thus, the first time a given MID DATA is loaded into LEOUT, the associated OUTEXTN will be forced high, whereas, . on the second occasion, OUTEXTN will be the same as the signal QEIN. Now consider the situation during the very last word of a token in which QEIN is known to be low. During the first time MID_DATA is loaded into LDOUT, OUTEXTN will be "1", and during the second time, OUTEXTN will be "0", indicating the true end of the token.
The output signal QVIN from the validation latch LVIN is combined with the signal QI3 in a similar gate combination (I`;'~12 and NAND12) to form a signal S5. Using known Booiean lechnlques, it can be shown that the signal S5 is HIGH either 20 when the validation signal QVIN is HIGH, or when the signal QI3 is low (indicating that the data is a duplicate). The signal S5 is loaded into the validation output latch LVO~'T at the same time that MID_DATA is loaded into LDOUT and the ir,termediate extension bit (signal S4) is loaded into LEOUT.
2. Signai 55 is also combined with the signal QO2 (the data token signal) in the logic gates NAND30 and INV30 to form the output validation signal OUT_VALID. As was mentioned earlier, OUT_VALID is HIGH only when there is a valid token and the validation signal QVOUT is high.
'~ In the present invention, the MID ACCEPT signal is ccmbined with the signal S5 in a series of logic gates ~ D26 and NV26) that perform the well-known AND func-}on to form a signal S6 that is used as one of the two enabllng signals to the latches LOl, LO2 and LO3. The signal S6 rises to a "1" when the MID_ACCEPT signal is HIGH and when either the validation signal QVIN is high, or when the token is a duplicate (QI3 is a "O"). If the signal MID_ACCEPT is HIGH, the latches LO1-L03 will, therefore, be enabled when the clock signal PH1 is high whenever valid input data is loaded at the input of the stage, or when the latched data is a duplicate.
From the discussion above, one can see that the stage shown in Figs. 8a and 8b will receive and transfer data ; between stages under the control of the validation and acceptance signals, as in previous embodiments, with the exception that the output signal from the acceptance latch LAIN at the input side is combined with the toggling duplication signal so that a data word will be output twice 1~ before a new word will be accepted.
The various logic gates such as NAND16 and INVl6 may, of course, be replaced by equivalent logic circuitry (in t.his case, a single AND gate). Similarly, if the latches LEIN and LVIN, for example, have inverting outputs, the inverters 20 INV10 and INV12 will not be necessary. Rather, the corresponding input to the gates NAND10 and NAND12 can be tied directly to the inverting outputs of these latches. As long as the proper logical operation is performed, the stage will operate in the same manner. Data words and extension 2~ bits will still be duplicated.
One should note that the duplication function that the illustrated stage performs will not be performed unless the cirst data word of the token has a "1" in the third positior.
of the word and "O's" in the five high-order bits. (Of û -ourse, the required pattern can easily be changed and set kv selecting other logic gates and interconnections other than the NORl, .~OR2, ~ND18 gates shown.) In addltion, as Fig. 8 shows, the OUT_VALID signal wiil ke forced low during the entire token unless the first data w_-d has the structure described above. This has the effect that all tokens except the one that causes the duplication process will be deleted from the token stream, since a device connected to the output terminals (OUTDATA, OUTEXTN and OUTVALID) will not recognize these token words as valid data.
As before, both validation latches LVIN, LVOUT in the stage can be reset by a single conductor NOT_RESETO, and a single resetting input R on the downstream latch LVOUT, with the reset signal being propagated backwards to cause the upstream validation latch to be forced low on the next clock cycle.
It should be noted that in the example shown in Fig. 8, the duplication of data contained in DATA tokens serves only as an example of the way in which circuitry may manipulate the ACCEPT and VALID signals so that more data is leaving the pipeline stage than that which is arriving at the input.
Similarly, the example in Fig. 8 removes all non-DATA tokens purely as an illustration of the way in which circuitry may manipulate the VALID signal to remove data from the stream.
In most typical applications, however, a pipeline stage will simply pass on any tokens that it does not recognize, unmodified, so that other stages further down the pipeline may act upon them if required.
Figs. 9a and 9b taken together illustrate an example of a timing diagram for the data duplication circuit shown in Figs. 8a and 8b. As before, the timing diagram shows the relationship between the two-phase clock signals, the various internal and external control signals, and the manner in which data is clocked between the input and output sides of the stage and is duplicated.

Referring now more particularly to Figure 10, there is shown a reconfigurable process stage in accordance with one 2145~58 aspect of the present invention.
Input latches 34 receive an input over a first bus 31. A first output from the input latches 34 is passed over line 32 to a token decode subsystem 33. A second output from the input latches 34 i8 passed as a first input over line 35 to a processing unit 36. A first output from the token decode subsystem 33 is passed over line 37 as a second input to the processing unit 36. A second output from the token decode 33 is passed over line 40 to an action identification unit 39.
The action identification unit 39 also receives input from registers 43 and 44 over line 46. The registers 43 and 44 hold the state of the machine as a whole. This state is determined by the history of tokens previously received. The output from the action identification unit 39 is passed over line 38 as a third input to the processing unit 36. The output from the processing unit 36 is passed to output latches 41. The output from the output latches 41 is passed over a second bus 42.
Referring now to Figure 11, a Start Code Detector (SCD) 51 receives input over a two-wire interface 52. This input can be either in the form of DATA tokens or as data bits in a data stream. A first output from the Start Code Detector 51 is passed over line 53 to a first logical first-in first-out ~uffer (FIF0) 54. The output from the first FIF0 54 is logically passed over line 55 as a first input to a Huffman decoder 56. A second output from the Start Code Detector 51 is passed over line 57 as a first input to a DRAM
interface 58. The DRAM interface 58 also receives input from a buffer manager 59 over line 60. Signals are transmitted to and received from external DRAM (not shown) by the DRAM
interface 58 over line 61. A first output from the DRAM
interface 58 is passed over line 62 as a first physical input to the Huffman decoder 56.

2I ~51 58 The output from the Huffman decoder 56 is passed over line 63 as an input to an Index to Data Unit (ITOD) 64.
The Huffman decoder 56 and the ITOD 64 work together as a single logical unit. The output from the ITOD 64 is passed over line 65 to an arithmetic logic unit (ALU) 66. A first output from the ALU 66 is passed over line 67 to a read-only memory (ROM) state machine 68. The output from the ROM state machine 68 is passed over line 69 as a second physical input to the Huffman decoder 56. A second-output from the ALU 66 is passed over line 70 to a Token Formatter (T/F) 71.
A first output 72 from the T/F 71 of the present invention is passed over line 72 to a second FIFO 73. The output from the second FIFO 73 is passed over line 74 as a first input to an inverse modeller 75. A second output from the T/F 71 is passed over line 76 as a third input to the DRAM interface 58. A third output from the DRAM interface 58 is passed over line 77 as a second input to the inverse modeller 75. The output from the inverse modeller 75 is passed over line 78 as an input to an inverse quantizer 79 The output from the inverse quantizer 79 is passed over line 80 as an input to an inverse zig-zag (IZZ) 81. The output from the IZZ 81 is passed over line 82 as an input to an inverse discrete cosine transform (IDCT) 83. The output from the IDCT 83 is passed over line 84 to a temporal decoder (not shown).
Referring now more particularly to Figure 12, a temporal decoder in accordance with the present invention is shown. A fork 91 receives as input over line 92 the output from the IDCT 83 (shown in Fig. 11). As a first output from the fork 91, the control tokens, e.g., motion vectors and the like, are passed over line 93 to an address generator 94.
Data tokens are also passed to the address generator 94 for counting purposes. As a second output from the fork 91, the data is passed over line 95 to a FIFO 96. The output from the FIFO 96 is then passed over line 97 as a first input to a summer 98. The output from the address generator 94 is passed over line 99 as a first input to a DRAM interface 100.
S Signals are transmitted to and received from external DRAM
(not shown) by the DRAM interface 100 over line 101. A first output from the DRAM interface 100 is passed over line 102 to a prediction filter 103. The output from the prediction filter 103 is passed over line 104 as a second input to the summer 98. A first output from the summer 98 is passed over line 105 to output selector 106. A second output from the summer 98 is passed over line 107 as a second input to the DRAM interface 100. A second output from the DRAM interface 100 is passed over line 108 as a second input to the output selector 106. The output from the output selector 106 is passed over line 109 to a Video Formatter (not shown in Figure 12).
Referring now to Figure 13, a fork 111 receives input from the output selector 106 (shown in Figure 12) over line 112. As a first output from the fork 111, the control tokens are passed over line 113 to an address generator 114.
The output from the address generator 114 is passed over line 115 as a first input to a DRAM interface 116. As a second output from the fork 111 the data is passed over line 117 as a second input to the DRAM interface 116. Signals are transmitted to and received from external DRAM (not shown) by the DRAM interface 116 over line 118. The output from the DRAM interface 116 is passed over line 119 to a display pipe 120.
It will be apparent from the above descriptions that each line may comprise a plurality of lines, as necessary.

Referring now to Figure 14a, in the MPEG standard a picture 131 is encoded as one or more slices 132. Each slice 132 is, in turn, comprised of a plurality of blocks 133, and is encoded row-by-row, left-to-right in each row.
As is shown, each slice 132 may span exactly one full line of blocks 133, less than one line B or D of blocks 133 or multiple lines C of blocks 133.
Referring to Figure 14b, in the JPEG and H.261 standards, the Common Intermediate Format (CIF) is used, wherein a picture 141 is encoded as 6 rows each containing 2 groups of blocks (GOBs) 142. Each GOB 142 is, in turn, composed of either 3 rows or 6 rows of an indeterminate number of blocks 143. Each GOB 142 is encoded in a zigzag direction indicated by the arrow 144. The GOBs 142 are, in turn, processed row-by-row, left-to-right in each row.
Referring now to Figure 14c, it can be seen that, for both MPEG and CIF, the output of the encoder is in the form of a data stream 151. The decoder receives this data stream 151. The decoder can then reconstruct the image according to the format used to encode it. In order to allow the decoder to recognize start and end points for each standard, the data stream 151 is segmented into lengths of 33 blocks 152.
Referring to Figure 15, a Venn diagram is shown, representing the range of values possible for the table selection from the Huffman decoder 56 (shown in Fig. 11) of the present invention. The values possible for an MPEG
decoder and an H.261 decoder overlap, indicating that a single table selection will decode both certain MPEG and certain H.261 formats. Likewise, the values possible for an MPEG decoder and a JPEG decoder overlap, indicating that a single table selection will decode both certain MPEG and certain JPEG formats. Additionally, it is shown that the H.261 values and the JPEG values do not overlap, indicating that no single table selection exists that will decode both formats.
Referring now more particularly to Figure 16, there is shown a schematic representation of variable length picture data in accordance with the practice of the present invention. A first picture 161 to be processed contains a first PICTURE_START token 162, first-picture information of indeterminate length 163, and a first PICTURE_END token 164.
A second picture 165 to be processed contains a second PICTURE_START token 166, second picture information of indeterminate length 167, and a second PICTURE_END token 168.
The PICTURE_START tokens 162 and 166 indicate the start of the pictures 161 and 165 to the processor. Likewise, the PICTURE END tokens 164 and 168 signify the end of the pictures 161 and 165 to the processor. This allows the processor to process picture information 163 and 167 of variable lengths.
Referring to Figure 17, a split 171 receives input over line 172. A first output from the split 171 is passed over line 173 to an address generator 174. The address generated by the address generator 174 is passed over line 175 to a DRAM interface 176. Signals are transmitted to and received from external DRAM (not shown) by the DRAM interface 176 over line 177. A first output from the DRAM interface 176 is passed over line 178 to a prediction filter 179. The output from the prediction filter 179 is passed over line 180 as a first input to a summer 181. A second output from the split 171 is passed over line 182 as an input to a first-in first-out buffer (FIFO) 183. The output from the FIFO 183 is passed over line 184 as a second input to the summer 181.
The output from the summer 181 is passed over line 185 to a write signal generator 186. A first output from the write signal generator 186 is passed over line 187 to the DRAM
interface 176. A second output from the write signal generator 186 is passed over line 188 as a first input to a read signal generator 189. A second output from the DRAM
interface 176 is passed over line 190 as a second input to the read signal generator 189. The output from the read signal generator 189 is passed over line 191 to a Video Formatter (not shown in Figure 17).
Referring now to Figure 18, the prediction filtering process is illustrated. A forward picture 201 is passed over line 202 as a first input to a summer 203. A
backward picture 204 is passed over line 205 as a second input to the summer 203. The output from the summer 203 is passed over line 206.
Referring to Figure 19, a slice 211 comprises one or more macroblocks 212. In turn, each macroblock 212 comprises four luminance blocks 213 and two chrominance blocks 214, and contains the information for an original 16 x 16 block of pixels. Each of the four luminance blocks 213 and two chrominance blocks 214 is 8 x 8 pixels in size. The four luminance blocks 213 contain a 1 pixel to 1 pixel mapping of the luminance (Y) information from the original 16 x 16 block of pixels. One chrominance block 214 contains a representation of the chrominance level of the blue color signal (Cu/b), and the other chrominance block 214 contains a representation of the chrominance level of the red color signal (Cv/r). Each chrominance level is subsampled such that each 8 x 8 chrominance block 214 contains the chrominance level of its color signal for the entire original 16 x 16 block of pixels.
Referring now to Figure 20, the structure and function of the Start Code Detector will become apparent. A

21451~8 value register 221 receives image data over a line 222. The line 222 is eight bits wide, allowing for parallel transmission of eight bits at a time. The output from the value register 221 is passed serially over line 223 to a decode register 224. A first output from the decode register 224 is passed to a detector 225 over a line 226. The line 226 is twenty-four bits wide, allowing for parallel transmission of twenty-four bits at a time. The detector 225 detects the presence or absence of an image which corresponds to a standard-independent start code of 23 "zero" values followed by a single "one" value. An 8-bit data value image follows a valid start code image. On detecting the presence of a start code image, the detector 225 transmits a start image over a line 227 to a value decoder 228.
A second output from the decode register 224 is passed serially over line 229 to a value decode shift register 230. The value decode shift register 230 can hold a data value image fifteen bits long. The 8-bit data value following the start code image is shifted to the right of the value decode shift register 230, as indicated by area 231.
This process eliminates overlapping start code images, as discussed below. A first output from the value decode shift register 230 is passed to the value decoder 228 over a line 232. The line 232 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. The value decoder 228 decodes the value image using a first look-up table (not shown). A second output from the value decode shift register 230 is passed to the value decoder 228 which passes a flag to an index-to-tokens converter 234 over a line 235. The value decoder 228 also passes information to the index-to-tokens converter 234 over a line 236. The information is either the data value image or start code index image obtained from the first look-up table. The flag 21~5158 indicates which form of information is passed. The line 236 i6 fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. While 15 bits has been chosen here as the width in the present invention it will be appreciated that bits of other lenqths may also be used. The index-to-tokens converter 234 converts the information to token images using a second look-up table (not shown) similar to that given in Table 12-3 of the Users Manual. The token images generated by the index-to-tokens converter 234 are then output over a line 237. The line 237 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time.
Referring to Figure 21, a data stream 241 consisting of individual bits 242 is input to a Start Code Detector (not shown in Figure 21). A first start code image 243 is detected by the Start Code Detector. The Start Code Detector then receives a first data value image 244. Before processing the first data value image 244, the Start Code Detector may detect a second start code image 245, which overlaps the first data value image 244 at a length 246. If this occurs, the Start Code Detector does not process the first data value image 244, and instead receives and processes a second data value image 247.
Referring now to Figure 22, a flag generator 251 receives data as a first input over a line 252. The line 252 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. The flag generator 251 also receives a flag as a second input over a line 253, and receives an input valid image over a first two-wire interface 254. A
first output from the flag generator 251 is passed over a line 255 to an input valid register (not shown). A second output from the flag generator 251 is passed over a line 256 to a decode index 257. The decode index 257 generates four outputs; a picture start image is passed over a line 258, a 21~5I58 picture number image is passed over a line 259, an insert image is passed over a line 260, and a replace image is passed over a line 261. The data from the flag generator 251 is passed over a line 262a. A header generator 263 uses a look-up table to generate a replace image, which is passed over a line 262b. An extra word generator 264 uses the MPU
to generate an insert image, which is passed over a line 262c. Line 262a, and line 262b combine to form a line 262, which is first input to output latches 265. The output latches 265 pass data over a line 266. The line 266 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time.
The input valid register (not shown) passes an image as a first input to a first OR gate 267 over a line 268. An insert image is passed over a line 269 as a second input to the first OR gate 267. The output from the first OR
gate 267 is passed as a first input to a first AND gate 270 over a line 271. The logical negation of a remove image is passed over a line 272 as a second input to the first AND
gate 270 is passed as a second input to the output latches 265 over a line 273. The output latches 265 pass an output valid image over a second two-wire interface 274. An output accept image is received over the second two-wire interface 274 by an output accept latch 275. The output from the output accept latch 275 is passed to an output accept register (not shown) over a line 276.
The output accept register (not shown) passes an image as a first input to a second OR gate 277 over a line 278. The logical negation of the output from the input valid register is passed as a second input to the second OR gate 277 over a line 279. The remove image is passed over a line 280 as a third input to the second OR gate 277. The output from the second OR gate 277 is passed as a first input to a second AND gate 281 over a line 282. The logical negation of an insert image is passed as a second input to the second AND
gate 281 over a line 283. The output from the second AND
gate 281 is passed over a line 284 to an input accept latch 285. The output from the input accept latch 285 is passed over the first two-wire interface 254.

TABL~ 600 Format Image Received Tokens Generated l. H.261 SEQUENCE START SEQUENCE START
MPEG PICTURE START GROUP START
JPEG (None) PICTURE START
PICTURE DATA
2. H.261 (None) PICTURE END
MPEG (None) PADDING
JPEG (None) - FLUSH
STOP AFTER PICTURE
As set forth in Table 600 which shows a relationship between the absence or presence of standard signals in the certain machine independent control tokens, the detection.of an image by the Start Code Detector 51 generates a sequence of machine independent Control Tokens. Each image listed in the "Image Received" column starts the generation of all machine independent control tokens listed in the group in the "Tokens Generated" column. Therefore, as shown in line 1 of Table 600, whenever a "sequence start" image is received during H.261 processing or a "picture start" image is received during MPEG processing, the entire group of four control tokens is generated, each followed by its corresponding data value or values. In addition, as set forth at line 2 of Table 600, the second group of four control tokens is generated at the proper time irrespective of images received by the Start Code Detector 51.

DISPLAY ORDER: I1 B2 B3 P4 B5 B6 P7 B8 B9 Il0 TRANSMIT ORDER: Il P4 B2 B3 P7 B5 B6 Il0 B8 B9 As shown in line 1 of Table 601 which shows the timing relationship between transmitted pictures and displayed pictures, the picture frames are displayed in numerical order. However, in order to reduce the number of frames that 21~51~8 . ~

must be stored in memory, the frames are transmitted in a different order. It is useful to begin the analysis from an intraframe (I frame). The Il frame is transmitted in the order it is to be displayed. The next predicted frame (P
framej, P4, is then transmitted. Then, any bi-directionally interpolated frames (B frames) to be displayed between the I1 frame and P4 frame are transmitted, represented by frames B2 and B3. This allows the transmitted B frames to reference a previous frame (forward prediction) or a future frame iO (backward prediction). After transmitting all the B frames to be displayed between the I1 frame and the P4 frame, the next P frame, P7, is transmitted. Next, all the B frames to be displayed between the P4 and P7 frames are transmitted, corresponding to B5 and B6. Then, the next I frame, I10, is transmitted. Finally, all the B frames to be displayed between the P~ and IlO frames are transmitted, corresponding .o frames B8 and B9. This ordering of transmitted frames requires only two frames to be kept in memory at any one time, and does not require the decoder to wait for the transmission of the next P frame or I frame to display an nterjacent B frame.
Further information regarding the structure and operation, as well as the features, objects and advantages, of the -,vention will become more readily apparent to one of 2~ ordinary skill in the art from the ensuing additional detailed description of illustrative embodiment of the invention which, for purposes of clarity and convenience of explanation are grouped and set forth in the following sections:
^ l. Multi-Standard Configurations 2. ~E~- Still Picture Decoding 3. Motion ~icture Decompression 4. R~M Memory Map 5. Bitstream Charac'eristics ` 21~S1~8 a~
6. Reconfigurable Processing Stage 7. Multi-Standard Coding 8. Multi-Standard Processing Circuit-2nd Mode of Operation 5. Start Code Detector 10. Tokens 11. DRAM Interface 12. Prediction Filter 13. Accessing Registers 14. Microprocessor Interface (MPI) . MPI Read Tlming 16. MPI ~rite Timing 17. Key Hole Address Locations 18. Picture End 19. Flushing Operation 1-. 20. Flush Function 21. Stop-After-Picture 22. Multi-Standard Search Mode 23. Inverse Modeler 2~. Inverse Quantizer 2~. Huffman Decoder and Parser 26. Diverse Discrete Cosine Transformer 27. Buffer Manager 1. M~TI-~TANDARD CONFIGURATION8 Since the various compression standards, i.e., JPEG, MPEG and H.261, are well known, as for example as described in the aforementioned United States Patent No. 5,212,742, the detailed specifications of those standards are not repeated here.
As previously mentioned, the present invention is capable of decompressing a variety of differently encoded, picture data bitstreams. In each of the different standards of encoding, some form of output formatter is required to take the data presented at the output of the spatial decoder operating alone, or the serial output of a spatial decoder and temporal decoder operating in combination, ~as subsequently described herein in greater detail) and reformatting this output for use, including display in a computer or other display systems, including a video display system. Implementation of this formatting varies significantly between encoding standards and/or the type of display selected.
In a first embodiment, in accordance with the present invention, as previously described with reference to Figures 10-12 an address generator is employed to store a block of formatted data, output from either the first decoder (Spatial Decoder) or the combination of the first decoder (Spatial Decoder) and the second decoder (the Temporal Decoder), and to write the decoded information into and/or from a memory in a raster order. The video formatter described hereinafter provides a wide range of output signal combinations.
In the preferred multi-standard video decoder embodiment of the present invention, the Spatial Decoder and the Temporal Decoder are required to implement both an MPEG
encoded signal and an H.261 video decoding system. The DRAM
interfaces on both devices are configurable to allow the quantity of DRAM required to be reduced when working with small picture formats and at low coded data rates. The reconfiguration of these DRAMs will be further described hereinafter with reference to the DRAM interface. Typically, a single 4 megabyte DRAM is required by each of the Temporal Decoder and the Spatial Decoder circuits.
The Spatial Decoder of the present invention performs all the required processing within a single picture. This reduces the redundancy within one picture.
The Temporal Decoder reduces the redundancy between the subject picture with relationship to a picture which arrives prior to the arrival of the subject picture, as well as a picture which arrives after the arrival of the subject picture. One aspect of the Temporal Decoder is to provide an address decode network which handles the complex addressing needs to read out the data associated with all of these pictures with the least number of circuits and with high speed and improved accuracy.
As previously described with reference to Figure 11, the data arrives through the Start Code Detector, a FIFO register which precedes a Huffman decoder and parser, through a second FIFO register, an inverse modeller, an inverse quantizer, inverse zigzag and inverse DCT. The two FIFOs need not be on the chip. In one embodiment, the data does not flow through a FIFO that is on the chip. The data is applied to the DRAM
interface, and the FIFO-IN storage register and the FIFO-OUT
register is off the chip in both cases. These registers, whose operation is entirely independent of the standards, will subsequently be described herein in further detail.
The majority of the subsystems and stages shown in Figure 11 are actually independent of the particular standard used and include the DRAM interface 58, the buffer manager 59 which is generating addresses for the DRAM interface, the inverse modeller 75, the inverse zig-zag 81 and the inverse 214~158 DCT 83. The standard independent units within the Huffman decoder and parser include the ALU 66 and the token formatter 71.
Referring now to Figure 12, the standard-S independent units include the DRAM interface 100, the fork91, the FIFO register 96, the summer 98 and the output selector 106. The standard dependent units are the address generator 94, which is different in H.261 and in MPEG, and the prediction filter 103, which is-reconfigurable to have the ability to do both H.261 and MPEG. The JPEG data will flow through the entire machine completely unaltered.
Figure 13 depicts a high level block diagram of the video formatter chip. The vast majority of this chip is independent of the standard. The only items that are lS affected by the standard is the way the data is written into the DRAM in the case of H.261, which differs from MPEG or JPEG; and that in H.261, it is not necessary to code every single picture. There is some timing information referred to as a temporal reference which provides some information regarding when the pictures are intended to be displayed, and that is also handled by the address generation type of logic in the video formatter.
The remainder of the circuitry embodied in the video formatter, including all of the color space conversion, the up-sampling filters and all of the gamma correction RAMs, is entirely independent of the particular compression standard utilized.
The Start Code Detector of the present invention is dependent on the compression standard in that it has to recognize different start code patterns in the bitstream for each of the standards. For example, H.261 has a 16 bit start code, MPEG has a 24 bit start code and JPEG uses marker codes which are fairly different from the other start codes. Once the Start Code Detector has recognized those different start 21~51S8 codes, its operation is essentially independent of the compression standard. For instance, during searching, apart from the circuitry that recognizes the different category of markers, much of the operation is very similar between the three different compression standards.
The next unit is the state machine 68 (Figure 11) located within the Huffman decoder and parser. Here, the actual circuitry is almost identical for each of the three compression standards. In fact, the only element that is affected by the standard in operation is the reset address of the machine. If just the parser is reset, then it jumps to a different address for each standard. There are, in fact, four standards that are recognized. These standards are H.261, JPEG, MPEG and one other, where the parser enters a piece of code that is used for testing. This illustrates that the circuitry is identical in almost every aspect, but the difference is the program in the microcode for each of the standards. Thus, when operating in H.261, one program is running, and when a different program is running, there is no overlap between them. The same holds true for JPEG, which is a third, completely independent program.
The next unit is the Huffman decoder 56 which functions with the index to data unit 64. Those two units cooperate together to perform the Huffman decoding. Here, the algorithm that is used for Huffman decoding is the same, irrespective of the compression standard. The changes are in which tables are used and whether or not the data coming into the Huffman decoder is inverted. Also, the Huffman decoder itself includes a state machine that understands some aspects of the coding standards. These different operations are selected in response to an instruction coming from the parser state machine. The parser state machine operates with a different program for each of the three compression standards and issues the correct command to the Huffman decoder at different times consistent with the standard in operation.
The last unit on the chip that is dependent on the compression standard is the inverse quantizer 79, where the mathematics that the inverse quantizer performs are different for each of the different standards. In this regard, a CODING_STANDARD token is decoded and the inverse quantizer 79 remembers which standard it is operating in. Then, any subsequent DATA tokens that happen-after that event, but before another CODING STANDARD may come along, are dealt with in the way indicated by the CODING_STANDARD that has been remembered inside the inverse quantizer. In the detailed description, there is a table illustrating different parameters in the different standards and what circuitry is responding to those different parameters or mathematics.
The address generation, with reference to H.261, differs for each of the subsystems shown in Figure 12 and Figure 13.
The address generation in Figure 11, which generates addresses for the two FIFOs before and after the Huffman decoder, does not change depending on the coding standards.
Even in H.261, the address generation that happens on that chip is unaltered. Essentially, the difference between these standards is that in MPEG and JPEG, there is an organization of macroblocks that are in linear lines going horizontally across pictures. As best observed in Figure 14a, a first macroblock A covers one full line. A macroblock B covers less than a line. A macroblock C covers multiple lines. The division in MPEG is into slices 132, and a slice may be one horizontal line, A, or it may be part of a horizontal line B, or it may extend from one line into the next line, C. Each of these slices 132 is made up of a row of macroblocks.
In H.261, the organization is rather different because the picture is divided into groups of blocks (GOB).

-A group of blocks is three rows of macroblocks high by eleven macroblocks wide. In the case of a CIF picture, there are twelve such groups of blocks. However, they are not organized one above the other. Rather, there are two groups of blocks next to each other and then six high, i.e., there are 6 GOB's vertically, and 2 GOB's horizontally.
In all other standards, when performing the addressing, the macroblocks are addressed in order as described above. More specifically, addressing proceeds along the lines and at the end of the line, the next line is started. In H.261, the order of the blocks is the same as described within a group of blocks, but in moving onto the next group of blocks, it is almost a zig-zag.
The present invention provides circuitry to deal with the latter affect. That is the way in which the address generation in the spatial decoder and the video formatter varies for H.261. This is accomplished whenever information is written into the DRAM. It is written with the knowledge of the aforementioned address generation sequence so the place where it is physically located in the RAM is exactly the same as if this had been an MPEG picture of the same size. Hence, all of the address generation circuitry for reading from the DRAM, for instance, when forming predictions, does not have to comprehend that it is H.261 standard because the physical placement of the information in the memory is the same as it would have been if it had been in MPEG sequence. Thus, in all cases, only writing of data is affected.
In the Temporal Decoder, there is an abstraction for H.261 where the circuitry pretends something is different from what is actually occurring. That is, each group of blocks is conceptually stretched out so that instead of having a rectangle which is 11 x 3 macroblocks, the macroblocks are stretched out into a length of 33 blocks (see 21~SlS8 Figure 14c) group of blocks which is one macroblock high. By doing that, exactly the same counting mechanisms used on the Temporal Decoder for counting through the groups of blocks are also used for MPEG.
There is a correspondence in the way that the circuitry is designed between an H.261 group of blocks and an MPEG slice. When H.261 data is processed after the Start Code Detector, each group of blocks is preceded by a slice_start_code. The next group o blocks is preceded by the next slice_start code. The counting that goes on inside the Temporal Decoder for counting through this structure pretends that it is a 33 macroblock-long group that is one macroblock high. This is sufficient, although the circuitry also counts every 11th interval. When it counts to the 11th macroblock or the 22nd macroblock, it resets some counters.
This is accomplished by simple circuitry with another counter that counts up each macroblock, and when it gets to 11, it resets to zero. The microcode interrogates that and does that work. All the circuitry in the temporal decoder of the present invention is essentially independent of the compression standard with respect to the physical placement of the macroblocks.
In terms of multi-standard adaptability, there are a number of different tables and the circuitry selects the appropriate table for the appropriate standard at the appropriate time. Each standard has multiple tables; the circuitry selects from the set at any given time. Within any one standard, the circuitry selects one table at one time and another table another time. In a different standard, the circuitry selects a different set of tables. There is some intersection between those tables as indicated previously in the discussion of Figure 15. For example, one of the tables used in MPEG is also used in JPEG. The tables are not a completely isolated set. Figure 15 illustrates an H.261 .` 214S158 ~, set, an MPEG set and a JPEG set. Note that there is a much greater overlap between the H.261 set and the MPEG set. They are quite common in the tables they utilize. There is a small overlap between MPEG and JPEG, and there is no overlap at all between H.261 and JPEG so that these standards have totally different sets of tables.
As previously indicated, most of the system units are compression standard independent. If a unit is standard independent, and such units need not remember what CODING_STANDARD is being processed. All of the units that are standard dependent remember the compression standard as the CODING STANDARD token flows by them. When information encoded/decoded in a first coding standard is distributed through the machine, and a machine is changing standards, prior machines under microprocessor control would normally choose to perform in accordance with the H.261 compression standard. The MPU in such prior machines generates signals statlng in multiple different places within the machine that the compression standard is changing. The MPU makes changes at different times and, in addition, may flush the pipeline through.
In accordance with the invention, by issuing a change of CODING_STANDARD tokens at the Start Code Detector that is positioned as the first unit in the pipeline, this change of 2~ compression standard is readily handled. The token says a certain coding standard is beginning and that control information flows down the machine and configures all the other registers at the appropriate time. The MPU need not program each register.
The prediction token signals how to form predic~ions using the bits in the bitstream. Depending on ~hich _o~pression standard is operating, the circuitry translates the inforr,ation that is found in the standard, i.e. from t~,e bitstrea~ into a prediction mode token. This processing is ~_ 21451S8 perfo~d by the Huffman decoder and parser state machine, where lt is easy to manlpulate bits based on certain conditions. The Start Code Detector generates this prediction mode token. The token then flows down the machine to the circuitry of the Temporal Decoder, which is the device responsible for forming predictions. The circuitry of the spatial decoder interprets the token without having to know what standard it is operating in because the bits in it are invariant in the three different standards. The Spatial ' J Decoder just does what it is told in response to that token.
By having these tokens and using them appropriately, the design of other units in the machine is simplified. Although there may be some complications in the program, benefits are received in that some of the hard wired logic which would be 1~ difficult to design for multi-standards can be used here.

2. JPEG STILL PICTURE DECODING
As previously indicated, the present invention rela~es to signal decompression and, more particularly, to the decompression of an encoded video signal, irrespective of the ~3 compression standard employed.
One aspect of the present invention is to provide a first decoder circuit (the Spatial Decoder) to decode a first encoded signal (the JPEG encoded video signal) in combination ~lth a second decoder circuit (the Temporal Decoder) to 2, decode a first encoded signal (the MPEG or H.261 encoded video signal) in a pipeline processing system. The Temporal Decoder is not needed for JPEG decoding.
In this regard, the invention facilitates the decompression of a plurality of differently encoded signals .O hrough the use of a single pipeline decoder and decompres5ion system. The decoding and deCompression pipeline processor is organized on a unique and specia~
configuration which allows the handling of the multi-standa~d ,` - 2145158 ``_, encode~ `-video signals through the use of techniques all compatible with the single pipeline decoder and processing system. The Spatial Decoder is combined with the Temporal 3ecoder, and the Video Formatter is used in driving a video display.
Another aspect of the invention is the use of the combination of the Spatial Decoder and the Video Formatter for use with only still pictures. The compression standard independent Spatial Decoder performs all of the data l~ processing within the boundaries of a single picture. Such a decoder handles the spatial decompression of the internal picture data which is passing through the pipeline and is distributed within associated random access memories, standard independent address generation circuits for handling the storage and retrieval of information into the memories.
Still picture data is decoded at the output of the Spatial Decoder, and this output is employed as input to the multi-standard, configurable Video Formatter, which then provides an output to the display terminal. In a first sequence of 2~ slmllar pictures, each decompressed picture at the output of the Spatial Decoder is of the same length in bits by the time the picture reaches the output of the Spatial Decoder. A
second sequence of pictures may have a totally different picture size and, hence, have a different length when 2~ compared to the first length. Again, all such second sequence of similar pictures are of the same length in bits by the time such pictures reach the output of the Spatial Decoder.
Another aspect of the invention is to internally organize the incoming standard dependent bitstream into a sequence of ccntrol tokens and DATA tokens, in combination with a plurality of sequentially-positioned reconfigurable processing stages selected and organized to act as a standard-independent, reconfigurable-pipeline-processor-`~_ With regard to JPEG decoding, a single Spatial Decoderwith no off chlp DRAM can rapidly decode baseline JPEG
images. The Spatial Decoder supports all features of baseline JPEG encoding standards. However, the image size that can be decoded may be limited by the size of the output buffer provided. The Spatial Decoder circuit also includes a random access memory circuit, having machine-dependent, standard independent address generation circuits for handling the storage of information into the memories.
lû As previously, indicated the Temporal Decoder is not required to decode JPEG-encoded video. Accordingly, signals carried by DATA tokens pass directly through the Temporal Decoder without further processing when the Temporal Decoder is configured for a JPEG operation.
Another aspect of the present invention is to provide in the Spatial Decoder a pair of memory circuits, such as buffer memory circuits, for operating in combination with the Huffman decoder~video demultiplexor circuit (HD & VDM). A
first buffer memory is positioned before the HD & VDM, and a second buffer memory is positioned after the HD & VDM. The HD & VDM decodes the bitstream from the binary ones and zeros that are in the standard encoded bitstream and turns such stream into numbers that are used downstream. The advantage of the two buffer system is for implementing a muIti-standard decompression system. These two buffers, in combination with the identified implementation of the Huffman decoder, are described hereinafter in greater detail.
A still further aspect of the present multl-standard, decompression circuit is the combination of a Start Code Detector circuit positioned upstream of the first forward buffer operatlng in combination with the Huffman decoder.
5ne ad~antage of this combination is increased flexibilitY in dealing with the input bitstream, particularly padding, Which has to be added to the bitstream. The placement of t~ese 21451~8 `~_ ident~ed components, Start Code Detector, memory buffers, and Huffman decoder enhances the handling of certain sequences in the input bitstream.
In addition, off chip DRAMs are used for decoding JPEG-encoded video pictures in real time. The size and speed ofthe buffers used with the DRAMs will depend on the video encoded data rates.
The coding standards identify all of the standard dependent types of information that is necessary for storage in the DRAMs associated with the Spatial Decoder using standard independent circuitry.
3. MO~ION PICTU~E DECOMPRE88ION
In the present invention, if motion pictures are being decompressed through the steps of decoding, a further Temporal Decoder is necessary. The Temporal Decoder combines the data decoded in the Spatial Decoder with pictures, previously decoded, that are intended for display either before or after the picture being currently decoded. The Temporal Decoder receives, in the picture coded datastream, information to identify this temporally-displaced information. The Temporal Decoder is organized to address temporally and spatially displaced information, retrieve it, and combine it in such a way as to decode the information located in one picture with the picture currently being 2, decoded and ending with a resultant picture that is complete and is suitable for transmission to the video formatter for driving the display screen. Alternatively, the resultant picture can be stored for subsequent use in temporal decoding of subsequent pictures.
v Generally, the Temporal Decoder performs the processing between pictures either earlier and/or later in time with reference to the picture currently being decoded. The Te~lporal Decoder reintroduces information that is not encoded within the coded representation of the picture, because it is . ~

redu~ea~t and is already available at the decoder. More specifically, it is probable that any given picture will contain similar information as pictures temporally surrounding it, both before and after. This similarity can be made greater if motion compensation is applied. The Temporal Decoder and decompression circuit also reduces the redundancy between related pictures.
In another aspect of the present invention, the Temporal Decoder is employed for handling the standard-dependent lG output lnformation from the Spatial Decoder. This standard dependent information for a single picture is distributed among several areas of DRAM in the sense that the decompressed output information, processed by the Spatial Decoder, is stored in other DRAM registers by other random i~ access memories having still other machine-dependent, standard-independent address generation circuits for -ombining one picture of spatially decoded information packet of spatially decoded picture information, temporally displaced relative to the temporal position of the first 2u picture.
In multi-standard circuits capable of decoding MPEG-encoded signals, larger logic DRAM buffers may be required to support the larger picture formats possible with MPEG.
. The picture information is moving through the serial 2~ pipeline in 8 pel by 8 pel blocks. In one form of the invention, the address decoding circuitry handles these pel blocks (storing and retrieving~ along such block boundaries.
The address decoding circuitry also handles the storing and retrieving of such 8 by 8 pel blocks across such boundaries.
.v Thls versatility is more completely described hereinafter.
A second Temporal Decoder may also be provided which passes the output of the first decoder circuit (the Spatial ~ecoder) directly to the Video Formatter for handling without signa~ processing delay.

21~5158 TJ~ Temporal Decoder also reorders the blocks of picture data for display by a display circuit. The address decode circuitry, described hereinafter, provides handling of this reordering.
As previously mentioned,-one important feature of the Temporal Decoder is to add picture information together from a selection of pictures which have arrived earlier or later than the picture under processing. When a picture is described in this context, it may mean any one of the following:
1. The coded data representation of the picture;
2. The result, i.e., the final decoded picture resulting from the addition of a process step performed by the decoder;
1, 3. Previously decoded pictures read from the DRAM; and 4. The result of the spatial decoding, i.e., the extent of data between a PICTURE_START token and a subsequent PICTURE END token.
After the picture data information is processed by the 2û Temporal Decoder, it is either displayed or written back into a picture memory location. This information is then kept for further reference to be used in processing another different coded data picture.
Re-ordering of the MPEG encoded pictures for visual display involves the possibility that a desired scrambled picture can be achieved by varying the re-ordering feature of the Temporal Decoder.

4. RAM MEMORY MAP
The Spatial Decoder, Temporal Decoder and ~ideo For~atter all use external DRAM. Preferably, the same DR~
is used for all three devlces. While all three devices use DR~, and all three devices use a DRAM interface in conjunction With an address generator, what each i~plements in DRAM is different. That is, each chip, e.g. Spatial Decoder and Temporal Decoder, have a different DRAM interface and address generation circuitry even through they use a similar physical, external DRAM.
In brief, the Spatial Decoder implements two FIFOs in the common DRAM. Referring again to Figure 11, one FIFO 54 is positioned before the Huffman decoder 56 and parser, and the other is positioned after the Huffman decoder and parser.
The FIFOs are implemented in a relatively straightforward manner. For each FIFO, a particular portion of DRAM is set aside as the physical memory in which the FIFO will be implemented.
The address generator associated with the Spatial Decoder DRAM interface 58 keeps track of FIFO addresses using two pointers. One pointer points to the first word stored in the FIFO, the other pointer points to the last word stored in the FIFO, thus allowing read/write operation on the appropriate word. When, in the course of a read or write operation, the end of the physical memory is reached, the address generator "wraps around" to the start of the physical memory.
In brief, the Temporal Decoder of the present invention must be able to store two full pictures or frames of whatever encoding standard (MPEG or H.261) is specified. For simplicity, the physical memory in the DRAM into which the two frames are stored is split into two halves, with each half being dedicated (using appropriate pointers) to a particular one of the two pictures.
~ MPEG uses three different picture types: Intra (I), Predicted (P) and Bidirectionally interpolated (B). As previously mentioned, B pictures are based on predictions from two pictures. One picture is from the future and one from the past. I pictures require no further decoding by the Temporal Decoder, but must be stored in one of the two 2~51~8 pictu~e buffers for later use in decoding P and B pictures.
Decoding P pictures requires forming predictions from a previously decoded P or I picture. The decoded P picture is stored in a picture buffer for use decoding P and B pictures.
B pictures can require predictions form both of the picture buffers. However, B pictures are not stored in the external DRAM.
Note that I and P pictures are not output from the Temporal Decoder as they are decoded. Instead, I and P
pictures are written into one of the picture buffers, and are read out only when a subsequent I or P picture arrives for decoding. In other words, the Temporal Decoder relies on subsequent P or I pictures to flush previous pictures out of the two picture buffers, as further discussed hereinafter in the section on flushing. In brief, the Spatial Decoder can provlde a fake I or P picture at the end of a video sequence to flush out the last P or I picture. In turn, this fake picture is flushed when a subsequent video sequence starts.
The peak memory band width load occurs when decoding B
pictures. The worst case is the B frame may be formed from predictions from both the picture buffers, with all predictions being made to half-pixel accuracy.
As previously described, the Temporal Decoder can be configured to provide MPEG picture reordering. With this plcture reordering, the output of P and I pictures is delayed until the next P or I picture in the data stream starts to be decoded by the Temporal Decoder.
As the P or I pictures are reordered, certain tokens are stored temporarily on chip as the picture is written into the picture buffers. When the picture is read out for display, these stored tokens are retrieved. At the output of the Temporal Decoder, the DATA Tokens of the newly decoded P or I picture are replaced with DATA Tokens for the older P or I
picture.

_ 102 In contrast, H.261 makes predictions only from the picture just decoded. As each picture is decoded, it is written into one of the two picture buffers so it can be used in decoding the next picture. The only DRAM memory operations required are writing 8 x 8 blocks, and forming predictions with integer accuracy motion vectors.
In brief, the Video Formatter stores three frames or pictures. Three pictures need to be stored to accommodate such features as repeating or skipping pictures.

5. BITSTREAM C~ARACTERISTICS
Referring now particularly to the Spatial Decoder of the present invention, it is helpful to review the bitstream characteristics of the encoded datastream as these characteristics must be handled by the circuitry of the Spatial Decoder and the Temporal Decoder. For example, under one or more compression standards, the compression ratio of the standard is achieved by varying the number of bits that it uses to code the pictures of a picture. The number of bits can vary by a wide margin. Specifically, this means that the length of a bitstream used to encode a referenced picture of a picture might be identified as being one unit long, another picture might be a number of units long, while still a third picture could be a fraction of that unit.
None of the existing standards (MPEG 1.2, JPEG, H.261) 2, define a way of ending a picture, the implication being that when the next picture starts, the current one has finished.
Additionally, the standards (H.261 specifically) allow incomplete pictures to be generated by the encoder.
In accordance with the present invention, there is provlded a way of indicating the end of a picture by using one of its tokens: PICT~RE_END. The still encoded picture data leaving the Start Code Detector consists of pictures star~lng with a PICTURE_START token and ending with a -PICTURE END token, but still of widely varying length. There may be other information transmitted here (between the first and second picture), but it is known that the first picture has finished.
The data stream at the output of the Spatial Decoder consists of pictures, still with picture-starts and picture-ends, of the same length (number of bits) for a given sequence. The length of time between a picture-start and a picture-end may vary.
The Video Formatter takes these pictures of non-uniform time and displays them on a screen at a fixed picture rate determined by the type of display being driven. Different display rates are used throughout the world, e.g. PAL-NTSC
television standards. This is accomplished by selectively dropping or repeating pictures in a manner which is unique.
Ordinary "frame rate converters," e.g. 2-3 pulldown, operate with a fixed input picture rate, whereas the Video Formatter can handle a variable input picture rate.

6. RECONFIGURABLE PROCE88ING 8TAGE
Referring again to Figure 10, the reconfigurable processing stage (RPS) comprises a token decode circuit 33 which is employed to receive the tokens coming from a two wire interface 37 and input latches 34. The output of the token decode circuit 33 is applied to a processing unit 36 over the two-wire interface 37 and an action identification circuit 39. The processing unit 36 is suitable for processing data under the control of the action identification circuit 39. After the processing is completed, the processing unit 36 connects such completed signals to the output, two-wire interface bus 40 through output latches 41.
The action identification decode circuit 39 has an input from the token decode circuit 33 over the two-wire interface bus 40 and/or from memory circuits 43 and 44 over two-wire interface bus 46. The tokens from the token decode circuit 33 are applied simultaneously to the action identification circuit 39 and the processing unit 36. The action identification function as well as the RPS is described in further detail by tables and figures in a subseguent portion of this specification.
The functional block diagram in Figure 10 illustrates those stages shown in Fig~res 11, 12 and 13 which are not standard independent circuits. The data flows through the token decode circuit 33, through the processing unit 36 and onto the two-wire interface circuit 42 through the output latches 41. If the Control Token is recognized-by the RPS, it is decoded in the token decode circuit 33 and appropriate action will be taken. If it is not recognized, it will be passed unchanged to the output two-wire interface 42 through the output circuit 41. The present invention operates as a pipeline processor having a two-wire interface for controlling the movement of control tokens through the pipeline. This feature of the invention is described in greater detail in the previously filed EPO patent application number 92306038.8.
In the present invention, the token decode circuit 33 is employed for identifying whether the token presently entering through the two-wire interface 42 is a DATA token or control token. In the event that the token being examined by the token decode circuit 33 is recognized, it is exited to the action identification circuit 39 with a proper index signal or flag signal indicating that action is to be taken. At the same time, the token decode circuit 33 provides a proper flag or index signal to the processing unit 36 to alert it to the presence of the token being handled by the action identification circuit 39.

21~5158 Control tokens may also be processed.
A more detailed description of the various types of tokens usable in the present invention will be subsequently described hereinafter. For the purpose of this portion of the specification, it is sufficient to note that the address carried by the control token is decoded in the decoder 33 and is used to access registers contained within the action identification circuit 39. When the token being examined is a recognized control token, the action identification circuit 39 uses its reconfiguration state circuit for distributing the control signals throughout the state machine. As previously mentioned, this activates the state machine of the action identification decoder 39, which then reconfigures itself. For example, it may change coding standards. In this way, the action identification circuit 39 decodes the required action for handling the particular standard now passing through the state machine shown with reference to Figure 10.
Similarly, the processing unit 36 which is under the control of the action identification circuit 39 is now ready to process the information contained in the data fields of the DATA token when it is appropriate for this to occur.
On many occasions, a control token arrives first, reconfigures the action identification circuit 39 and is immediately followed by a DATA token which is then processed by the processing unit 36. The control token exits the output latches circuit 41 over the output two-wire interface 42 immediately preceding the DATA token which has been processed within the processing unit 36.
In the present invention, the action identification circuit, 39, is a state machine holding history state. The registers, 43 and 44 hold information that has been decoded from the token decoder 33 and stored in these registers.

21q5I5~

Such registers can be either on-chip or-off chip as needed.
These plurality of state registers contain action information connected to the action identification currently being identified in the action identification circuit 39. This action information has been stored from previously decoded tokens and can affect the action that is selected. The connection 40 is going straight from the token decode 33 to the action identification block 39. This is intended to show that the action can also be affected by the token that is currently being processed by the token decode circuit 33.
In general, there is shown token decoding and data processing in accordance with the present invention. The data processing is performed as configured by the action identification circuit 39. The action is affected by a number of conditions and is affected by information generally derived from a previously decoded token or, more specifically, information stored from previously decoded tokens in registers 43 and 44, the current token under processing, and the state and history information that the action identification unit 39 has itself acquired. A
distinction is thereby shown between Control tokens and DATA
tokens.
In any RPS, some tokens are viewed by that RPS unit as being Control tokens in that they affect the operation of the RPS presumably at some subsequent time. Another set of tokens are viewed by the RPS as DATA tokens. Such DATA
tokens contain information which is processed by the RPS in a way that is determined by the design of the particular circuitry, the tokens that have been previously decoded and the state of the action identification circuit 39. Although a particular RPS identifies a certain set of tokens for that particular RPS control and another set of tokens as data, that is the view of that particular RPS. Another RPS can have a different view of the same token. Some of the tokens migh~-be viewed by one RPS unit as DATA Tokens while another RPS unit might decide that it is actually a Control Token.
For example, the quantization table information, as far as the Huffman decoder and state machine is concerned, is data, because it arrives on its input as coded data, it gets formatted up into a series of 8 bit words, and they get formed into a token called a quantization table token (QUANT_TABLE) which goes down the processing pipeline. As far as that machine is concerned, all of that was data; it was handling data, transforming one sort of data into another sort of data, which is clearly a function of the processing performed by that portion of the machine. However, when that information gets to the inverse quantizer, it stores the information in that token a plurality of registers. In fact, because there are 64 8-bit numbers and there are many registers, in general, many registers may be present. This information is viewed as control information, and then that control information affects the processing that is done on subsequent DATA tokens because it affects the number that you multiply each data word. There is an example where one stage viewed that token as being data and another stage viewed it as being control.
Token data, in accordance with the invention is almost universally viewed as being data through the machine. One of the important aspects is that, in general, each stage of circuitry that has a token decoder will be looking for a certain set of tokens, and any tokens that it does not recognize will be passed unaltered through the stage and down the pipeline, so that subsequent stages downstream of the current stage have the benefit of seeing those tokens and may respond to them. This is an important feature, namely there can be communication between blocks that are not adjacent to one another uslng the token mechanism.
AnG~her lmportant feature of the invention is that each of 21~5158 the stages of circuitry has the processing capability within it to be able to perform the necessary operations for each of the standards, and the control, as to which operations are to be performed at a given time, come as tokens. There is one processing element that differs between the different stages to provide this capability. In the state machine ROM of the parser, there are three separate entirely different programs, one for each of the standards that are dealt with. Which program is executed depends upon a CODING_STANDARD token. In otherwords, each of these three programs has within it the ability to handle both decoding and the CODING_STANDARD
standard token. When each of these programs sees which coding standard, is to be decoded next, they literally jump to the start address in the microcode ROM for that particular program. This is how stages deal with multi-standardness.
Two things are affected by the different standards.
First, it affects what pattern of bits in the bitstream are recognized as a start-code or a marker code in order to reconfigure the shift register to detect the length of the start marker code. Second, there is a piece of information in the microcode that denotes what that start or marker code means. Recall that the coding of bits differs between the three standards. Accordingly, the microcode looks up in a table, specific to that compressor standard, something that is independent of the standard, i.e., a type of token that represents the incoming codes. This token is typically independent of the standard since in most cases, each of the various standards provide a certain code that will produce it.
The inverse quantizer 79 has a mathematical capability. The quantizer multiplies and adds, and has the ability to do all three compression standards which are configured by parameters. For example, a flag bit in the ROM
in control tells the inverse quantizer whether or not to add a constant, K. Another flag tells the inverse quantizer whether to add another constant. The inverse quantizer remembers in a register the CODING_STANDARD token as it flows by the quantizer. When DATA tokens pass thereafter, the inverse quantizer remembers what the standard is ànd it looks up the parameters that it needs to apply to the processing elements in order to perform a proper operation. For example, the inverse quantizer will look up whether K is set to 0, or whether it is set to 1 for a particular compression standard, and will apply that to its processing circuitry.
In a similar sense the Huffman decoder 56 has a number of tables within it, some for JPEG, some for MPEG and some for H.261. The majority of those tables, in fact, will service more than one of those compression standards. Which tables are used depends on the syntax of the standard. The Huffman decoder works by receiving a command from the state machine which tells it which of the tables to use.
Accordingly, the Huffman decoder does not itself directly have a piece of state going into it, which is remembered and which says what coding it is performing. Rather, it is the combination of the parser state machine and Huffman decoder together that contain information within them.
Regarding the Spatial Decoder of the present invention, the address generation is modified and is similar to that shown in Figure 10, in that a number of pieces of information are decoded from tokens, such as the coding standard. The coding standard and additional information as well, is recorded in the registers and that affects the progress of the address generator state machine as it steps through and counts the macroblocks in the system, one after the other. The last stage would be the prediction filter 179 (Figure 17) which operates in one of two modes, either H.261 or MPEG and are easily identified.

21~51S8 7. MU~J~-STANDARD CODING
The system of the present invention also provides a combination of the standard-independent indices generation circuits, which are strategically placed throughout the system in combination with the token decode circuits. ~or example, the system is employed for specifically decoding either the H.261 video standard, or the MPEG video standard or the JPEG video standard. These three compression coding standards specify similar processes to be done on the arriving data, but the structure of the datastreams is different. As previously discussed, it is one of the functions of the Start Code Detector to detect MPEG start-codes, H.261 start-codes, and JPEG marker codes, and convert them all into a form, i.e., a control token which includes a 1~ token stream embodying the current coding standard. The control tokens are passed through the pipeline processor, and are used, i.e., decoded, in the state machines to which they are relevant, and are passed through other state machines to which the tokens are not relevant. In this regard, the DATA
Tokens are treated in the same fashion, insofar as they are processed only in the state machines that are configurable by the control tokens into processing such DATA Tokens. In the remaining state machines, they pass through unchanged.
More specifically, a control token in accordance with the present invention, can consist of more than one word in the token. In that case, a bit known as the extension bit is set specifying the use of additional words in the token for carrying additional information. Certain of these additional control bits contain indices indicating information for use ~0 ln corresponding state machines to create a set of standard-independent indices signals. The remaining portions of the token are used to indicate and identify the internal processing control function which is standard for all of the datastreams passing through the pipeline processor. In one 21~5158 form of the invention, the token extension is used to carry the current coding standard which is decoded by the relative token decode circuits distributed throughout the machine, and is used to reconfigure the action identification circuit 39 of stages throughout the machine wherever it is appropriate to operate under a new coding standard. Additionally, the token decode circuit can indicate whether a control token is related to one of the selected standards which the circuit was designed to handle.
More specifically, an MPEG start code and a JPEG marker are followed by an 8 bit value. The H.261 start code is followed by a 4 bit value. In this context, the Start Code Detector 51, by detecting either an MPEG start-code or a JPEG
marker, indicates that the following 8 bits contain the value associated with the start-code. Independently, it can then create a signal which indicates that it is either an MPEG
start code or a JPEG marker and not an H.261 start code. In this first instance, the 8 bit value is entered into a decode circuit, part of which creates a signal indicating the index and flag which is used within the current circuit for handling the tokens passing through the circuit. This is also used to insert portions of the control token which will be looked at thereafter to determine which standard is being handled. In this sense, the control token contains a portion indicating that it is related to an MPEG standard, as well as a portion which indicates what type of operation should be performed on the accompanying data. As previously discussed, this information is utilized in the system to reconfigure the processing stage used to perform the function required by the various standards created for that purpose.
For example, with reference to the H.261 start code, it is associated with a 4 bit value which follows immediately after the start code. The Start Code Detector passes this value into the token generator state machine. The value is 21~Sl~

applied to an 8 bit decoder which produces a 3 bit start number. The start number is employed to identify the picture-start of a picture number as indicated by the value.
The system also includes a multi-stage parallel processing pipeline operating under the principles of the two-wire interface previously described. Each of the stages comprises a machine generally taking the form illustrated in Figure 10. The token decode circuit 33 is employed to direct the token presently entering the state machine into the action identification circuit 39 or the processing unit 36, as appropriate. The processing unit has been previously reconfigured by the next previous control token into the form needed for handling the current coding standard, which is now entering the processing stage and carried by the next DATA
token. Further, in accordance with this aspect of the invention, the succeeding state machines in the processing pipeline can be functioning under one coding standard, i.e., H.261, while a previous stage can be operating under a separate standard, such as MPEG. The same two-wire interface is used for carrying both the control tokens and the DATA
Tokens.
The system of the present invention also utilizes control tokens required to decode a number of coding standards with a fixed number of reconfigurable processing stages. More specifically, the PICTURE_END control token is employed because it is important to have an indication of when a picture actually ends. Accordingly, in designing a multi-standard machine, it is necessary to create additional control tokens within the multi-standard pipeline processing machine which will then indicate which one of the standard decoding techniques to use. Such a control token is the PICTURE END token. This PICTURE END token is used to indicate that the current picture has finished, to force the buffers to be flushed, and to push the current picture 2l451S8 through the decoder to the display.

8. M~ITI-8TANDARD PPOCF~FING CIRC~IT - 8ECOND
MOD~ OF OP~RATION
A compression standard-dependent circuit, in the form of the previously described Start Code Detector, is suitably interconnected to a compression standard-independent circuit over an appropriate bus. The standard-dependent circuit is connected to a combination dependent-independent circuit over the same bus and an additional bus. The standard-independent circuit applies additional input to the standard dependent-independent circuit, while the latter provides information back to the standard-independent circuit. Information from the standard-independent circuit is applied to the output over another suitable bus. Table 600 illustrates that the multiple standards applied as the input to the standard-dependent Start Code Detector 51 include certain bit streams which have standard-dependent meanings within each encoded bit stream.
9. 8TART-CODE D~.~.O~
As previously indicated the Start Code Detector, in accordance with the present invention, is capable of taking MPEG, JPEG and H.261 bit streams and generating from them a sequence of proprietary tokens which are meaningful to the rest of the decoder. As an example of how multi-standard decoding is achieved, the MPEG (1 and 2) picture start code, the H.261 picture start code and the JPEG start of scan (SOS) marker are treated as equivalent by the Start Code Detector, and all will generate an internal PICTURE START token. In a similar way, the MPEG sequence start code and the JPEG SOI
(start of image) marker both generate a machine sequence start token. The H.261 standard, however, has no equivalent start code. Accordingly, the Start Code Detector, 21~S158 `~_ in re~sp~onse to the first H.261 picture start_code, will generate a sequence_start token.
None of the above described images are directly used other than in the SCD. Rather, a machine PICTURE_START
token, for example, has been deemed to be equivalent to the PICTURE_START images contained in the bit stream.
Furthermore, it must be borne in mind that the machine PICTURE START by itself, is not a direct image of the PICTURE START in the standard. Rather, it is a control token which is used in combination with other control tokens to provide standard-independent decoding which emulates the operation of the images in each of the compression coding standards. The combination of control tokens in combination with the reconfiguration of circuits, in accordance with the information carried by control tokens, is unique in and of itself, as well as in further combination with indices and/or flags generated by the token decode circuit portion of a respective state machine. A typical reconfigurable state machine will be described subsequently.
Referring again to Table 600, there are shown the names of a group of standard images in the left column. In the right column there are shown the machine dependent control tokens used in the emulation of the standard encoded signal which is present or not used in the standard image.
With reference to -Table 600, it can be seen that a machine sequence_start signal is generated by the Start Code Detector, as previously described, when it decodes any one of the standard signals indicated in Table 600. The Start Code Detector creates sequence start, group start, sequence end, ~0 slice_start, user-data, extra-data and PICTURE START tokens for application to the two-wire interface which is used throughout the system. Each of the stages which operate i~
conjunction with these control tokens are configured by the contents of the tokens, or are configured by indices crea;e~

`~ .

by co~tents of the tokens, and are prepared to handle data which is expected to be received when the picture DATA Token arrives at that station.
As previously described, one of the compression standards, such as H.261, does not have a sequence start image in its data stream, nor does it have a PICTURE END
image in its data stream. The Start Code Detector indicates the PICTURE END point in the incoming bit stream and creates a PICTURE END token. In this regard, the system of the present invention is intended to carry data words that are fully packed to contain a bit of information in each of the register positions selected for use in the practice of the present invention. To this end, 15 bits have been selected as the number of bits which are passed between two start codes. Of course, it will be appreciated by one of ordinary skill in the art, that a selection can be made to include either greater or fewer than 15 bits. In other words, all 15 bits of a data word being passed from the Start Code Detector into the DRAM interface are required for proper operation.
Accordingly, the Start Code Detector creates extra bits, called padding, which it inserts into the last word of a DATA
Token. For purposes of illustration 15 data bits has been selected.
To perform the Padding operation, in accordance with the present invention, binary O followed by a number of binary l's are automatically inserted to complete the 15 bit data word. This data is then passed through the coded data buffer and presented to the Huffman decoder, which removes the padding. Thus, an arbitrary number of bits can be passed through a buffer of fixed size and width.
In one embodiment, a slice start control token is used to identify a slice of the picture. A slice_start control token is employed to segment the picture into smalle-regions. The size of the region is chosen by the encoder 21~S158 and the Start Code Detector identifies this unique pattern of the slice start code in order for the machine-dependent state stages, located downstream from the Start Code Detector, to segment the picture being received into smaller regions. The size of the region is chosen by the encoder, recognized by the Start Code Detector and used by the recombination circuitry and control tokens to decompress the encoded picture. The slice start codes are principally used for error recovery.
The start codes provide a unique method of starting up the decoder, and this will subsequently be described in further detail. There are a number of advantages in placing the Start Code Detector before the coded data buffer, as opposed to placing the Start Code Detector after the coded data buffer and before the Huffman decoder and video demultiplexor. Locating the Start Code Detector before the first buffer allows it to 1) assemble the tokens, 2) decode the standard control signals, such as start codes, 3) pad the bitstream before the data goes into the buffer, and 4) create the proper sequence of control tokens to empty the buffers, pushing the available data from the buffers into the Huffman Decoder.
Most of the control token output by the Start Code Detector directly reflect syntactic elements of the various picture and video coding standards. The Start Code Detector converts the syntactic elements into control tokens. In addition to these natural tokens, some unique and/or machine-dependent tokens are generated. The unique tokens include those tokens which have been specifically designed for use with the system of the present invention which are unique in and of themselves, and are employed for aiding in the multi-standard nature of the present invention. Examples of such unique tokens include PICTURE END and CODING STANDARD.
Tokens are also introduced to remove some of the 21~5iS8 synta~ic differences between the coding standards and to function in co-operation with the error conditions. The automatic token generation is done after the serial analysis of the standard-dependent data. Therefore, the Spatial Decoder responds equally to tokens that have been supplied directly to the input of the Spatial Decoder, i.e. the SCD, as well as to tokens that have been generated following the detection of the start-codes in the coded data. A sequence of extra tokens is inserted into the two- wire interface in order to control the multi-standard nature of the present invention.
The MPEG and H.261 coded video streams contain standard dependent, non-data, identifiable bit patterns, one of which is hereinafter called a start image and/or standard-dependent code. A similar function is served in JPEG, by marker codes.
These start/marker codes identify significant parts of the syntax of the coded datastream. The analysis of start/marker codes performed by the Start Code Detector is the first stage in parsing the coded data.
The start/marker code patterns are designed so that they can be identified without decoding the entire bit stream.
Thus, they can be used, in accordance with the present invention, to assist with error recovery and decoder start-up. The Start Code Detector provides facilities to detect errors in the coded data construction and to assist the start-up of the decoder. The error detection capability of the Start Code Detector will subsequently be discussed in further detail, as will the process of starting up of the decoder.
The aforementioned description has been concerned prlmarilty with the characteristics of the machine-dependen~
bit stream and its relationship with the addressing characteristics of the present invention. The follo~ing description is of the bit stream characteristics of the stand~rd~-dependent coded data with reference to the Start Code Detector.
Each of the standard compression encoding systems employs a unique start code configuration or image which has been selected to identify that particular compression specification. Each of the start codes also carries with it a start code value. The start code value is employed to identify within the language of the standard the type of operation that the start code is associated with. In the multi-standard decoder of the present invention, the compatibility is based upon the control token and DATA token configuration as previously described. Index signals, including flag signals, are circuit-generated within each state machine, and are described hereinafter as appropriate.
The start and/or marker codes contained in the standards, as well as other standard words as opposed to data words, are sometimes identified as images to avoid confusion with the use of code and/or machine-dependent codes to refer to the contents of control and/or DATA tokens used in the machine. Also, the term start code is often used as a generic term to refer to JPEG marker codes as well as MPEG
and H.261 start codes. Marker codes and start codes serve the same purpose. Also, the term "flush" is used both to refer to the FLUSH token, and as a verb, for example when 2? referring to flushing the Start Code Detector shift registers (including the signal "flushed"). To avoid confusion, the FLUSH token is always written in upper case. All other uses of the term (verb or noun) are in lower case.
The standard-dependent coded input picture input stream ? C comprises data and start images of varying lengths. The start i~ages carry with them a value telling the user what operation is to be performed on the data which immediately follows according to the standard. However, in the multi-standard pipeline processing system of the present invention, 21~Sl~$

where compatibility is required for multiple standards, the system has been optimized for handling all functions in all standards. Accordingly, in many situations, unique start control tokens must be created which are compatible not only with the values contained in the values of the encoded signal standard image, but which are also capable of controlling the various stages to emulate the operation of the standard as represented by specified parameters for each standard which are well known in the art. Al-l such standards are incorporated by reference into this specification.
It is important to understand the relationship between tokens which, alone or in combination with other control tokens, emulate the nondata information contained in the standard bit stream. A separate set of index signals, including flag signals, are generated by each state machine to handle some of the processing within that state machine.
Values carried in the standards can be used to access machine dependent control signals to emulate the handling of the standard data and non-data signals. For example, the slice_start token is a two word token, and it is then entered onto the two wire interface as previously described.
The data input to the system of the present invention may be a data source from any suitable data source such as disk, tape, etc., the data source providing 8 bit data to the first functional stage in the Spatial Decoder, the Start Code Detector 51 (Figure 11). The Start Code Detector includes three shift registers; the first shift register is 8 bits wide, the next is 24 bits wide, and the next is 15 bits wide.
Each of the registers is part of the two-wire interface. The data from the data source is loaded into the first register as a single 8 bit byte during one timing cycle. Thereafter, the contents of the first shift register is shifted one bit at a time into the decode (second) shift register. After 24 cycles, the 24 bit register is full.

21~5158 Every 8 cycles, the 8 bit bytes are loaded into the first shift register. Each byte is loaded into the value shift register 221 (Figure 20), and 8 additional cycles are used to empty it and load the shift register 231. Eight cycles are used to empty it, so after three of those operations or 24 cycles, there are still three bytes in the 24 bit register. The value decode shift register 230 is still empty.
Assuming that there is now a PIeTURE_START word in the 24 bit shift register, the detect cycle recognizes the PICTURE START code pattern and provides a start signal as its output. Once the detector has detected a start, the byte following it is the value associated with that start code, and this is currently sitting in the value register 221.
Since the contents of the detect shift register has been identified as a start code, its contents must be removed from the two wire interface to ensure that no further processing takes place using these 3 bytes. The decode register is emptied, and the value decode shift register 230 waits for the value to be shifted all the way over to such register.
The contents now of the low order bit positions of the value decode shift register contains a value associated with the PICTURE START. The Spatial Decoder equivalent to the standard PICTURE START signal is referred to as the SD
PICTURE START signal. The SD PICTURE START signal itself is going to now be contained in the token header, and the value is going to be contained in the extension word to the token header.
10. TO~EN8 In the practice of the present invention, a token is a universal adaptation unit in the form of an interactive interfacing messenger package for control and/or data functions and is adapted for use with a reconfigurable procesSi~g stage (RPS) which is a stage, which in response to a recognized token, reconfigures itself to perform various operations.
Tokens may be either position dependent or position independent upon the processing stages for performance of various functions. Tokens may also be metamorphic in that they can be altered by a processing stage and then passed down the pipeline for performance of further functions.
Tokens may interact with all or less than all of the stages and in this regard may interact with adjacent and/or non-adjacent stages. Tokens may be position dependent for some functions and position independent for other functions, and the specific interaction with a stage may be conditioned by the previous processing history of a stage.
A PICTURE END token is a way of signalling the end of a picture in a multi-standard decoder.
A multi-standard token is a way of mapping MPEG, JPEG
and H.261 data streams onto a single decoder using a mixture of standard dependent and standard independent hardware and control tokens.
A SEARCH MODE token is a technique for searching MPEG, JPEG and H.261 data streams which allows random access and enhanced error recovery.
A STOP AFTER PICTURE token is a method of achieving a clear end to decoding which signals the end of a picture and clears the decoder pipeline, i.e., channel change.
Furthermore, padding a token is a way of passing an arbitrary number of bits through a fixed size, fixed width buffer.
~0 The present invention is directed to a -pipeline processing system which has a variable configuration which uses tokens and a two-wire system. The use of control tokens and DATA Tokens in combination with a two-wire system facilitates a multi-standard system capable of having 21~5158 exter;de~ operating capabilities as compared with those systems which do not use control tokens.
The control tokens are generated by circuitry within the decoder processor and emulate the operation of a number of different type standard-dependent signals passing into the serial pipeline processor for handling. The technique used is to study all the parameters of the multi-standards that are selected for processing by the serial processor and noting 1) their similarities, 2) their dissimilarities, 3) their needs and requirements and 4) selecting the correct token function to effectively process all of the standard signals sent into the serial processor. The functions of the tokens are to emulate the standards. A control token function is used partially as an emulation/translation between the standard dependent signals and as an element to transmit control information through the pipeline processor.
In prior art system, a dedicated machine is designed according to well-known techniques to identify the standard and then set up dedicated circuitry by way of microprocessor interfaces. Signals from the microprocessor are used to control the flow of data through the dedicated downstream components. The selection, timing and organization of this decompression function is under the control of fixed logic circuitry as assisted by signals coming from the microprocessor.
In contrast, the system of the present invention configures the downstream functional stages under the control of the control tokens. An option is provided for obtaining needed and/or alternative control from the MPU.
30The tokens provide and make a sensible format for communicating information through the decompression circuit pipeline processor. In the design selected hereinafter ar.d used in the preferred embodiment, each word of a token is ~
n.~u~ cf 8 blts wide, and a single token can extend over ~ 214S1~8 one o~ ~Dre words. The width of the token is changeable and can be selected as any number of bits. An extension bit indicates whether a token is extended beyond the current word, i.e., if it is set to binary one in all words of a token, except the last word of a token. If the first word of a token has an extension bit of zero, this indicates that the token is only one word long.
Each token is identified by an address field that starts at bit 7 of the first word of the token. The address field is variable in length and can potentially extend over multiple words. In a preferred embodiment, the address is no longer than 8 bits long. However, this is not a limitation on the invention, but on the magnitude of the processing steps elected to be accomplished by use of these tokens. lt is to be noted under the extension bit idéntification label that the extension bit in words 1 and 2 is a 1, signifying that additional words will be coming thereafter. The extension bit in word 3 is a zero, therefore indicating the end of that token.
The token is also capable of variable bit length. For example, there are 9 bits in the token word plus the extension bit for a total of 10 bits. In the design of the present invention, output buses are of variable width. The output from the Spatial Decoder is 9 bits wide, or 10 bits 2~ wide when the extension bit is included. In a preferred embodiment, the only token that takes advantage of these extra bits is the DATA token; all other tokens ignore this extra bit. It should be understood that this is not a limitation, but only an implementation.
~0 Through the use of the DATA token and control token configuration, it is possible to vary the length of the data being carried by these DATA tokens in the sense of the number of bits in one word. For example, it has been discussed that data bits in word of a DATA Token can be combined with the 214S1~8 . ~

data bits in another word of the same DATA token to form an 11 bit or 10 bit address for use in accessing the random access memories used throughout this serial decompression processor. This provides an additional degree of variability that facilitates a broad range of versatility.
As previously described, the DATA token carries data from one processing stage to the next. Consequently, the characteristics of this token change as it passes through the decoder. For example, at the input to the Spatial Decoder, DATA Tokens carry bit serial coded video data packed into 8 bit words. Here, there is no limit to the length of each token. However, to illustrate the versatility of this aspect of the invention (at the output of the Spatial Decoder circuit), each DATA Token carries exactly 64 words and each word is 9 bits wide. More specifically, the standard encoding signal allows for different length messages to encode different intensities and details of pictures. The first picture of a group normally carries the longest number of data bits because it needs to provide the most information to the processing unit so that it can start the decompression with as much information as possible. Words which follow later are typically shorter in length because they contain the difference signals comparing the first word with reference to the second position on the scan information field.
The words are interspersed with each other, as required by the standard encoding system, so that variable amounts of data are provided into the input of the Spatial Decoder.
However, after the Spatial Decoder has functioned, the information is provided at its output at a picture format rate suitable for display on a screen. The output rate in terms of time of the spatial decoder may vary in order tO
interface with various display systems throughout the world, such as NTSC, PAL and SECAM. The video formatter converts 214515~
. ~

thi~ variable picture rate to a constant picture rate suitable for display. However, the picture data is still carried by DATA tokens consisting of 64 words.

11. DRA~ INT~RFAC~
A single high performance, configurable DRAM interface is used on each of the 3 ~co~r chips. In general, the DRAM
interface on each chip is substantially the same; however, the interfaces differ from one to another in how they handle channel priorities. This interface is designed to directly drive the external DRAMs used by the Spatial Decoder, the Temporal Decoder and the Video Formatter. Typically, no external logic, buffers or components will be required to connect the DRAM interface to the DRAMs in those systems.
In accordance with the present invention, the interface is configurable in two ways:
1. The detailed timing of the interface can be configured to accommodate a variety of different DRAM types.
2. The width of the data interface to the DRAM can be configured to provide a cost/performance trade off for different applications.
In general, the DRAM interface is a stAn~Ard-independent block implemented on each of the three chips in the system.
Again, these are the Spatial Decoder, Temporal Decoder and 2S video formatter. Referring again to Figures 11, 12 and 13, these figures show block diagrams that depict the relationship between the DRAM interface, and the remaining blocks of the Spatial Decoder, Temporal Decoder and video formatter, respectively. On each chip, the DRAM interface connects the chip to an external DRAM. External DRAM is used because, at present, it is not practical to fabricate on chip the relatively large amount of DRAM needed. Note: each chip has its own external DRAM and its own DRAM interface.

21~5158 . ~

Furthermore, while the DRAM interface is compression standard-independent, it still must be configured to implement each of the multiple standards, H.261, JPEG and MPEG. How the DRAM interface is reconfigured for multi-standard operation will be subsequently further describedherein.
Accordingly, to understand the operation of the DRAM
interface requires an understanding of the relationship between the DRAM interface and the address generator, and how the two communicate using the two wire interface.
In general, as its name implies, the address generator generates the addresses the DRAM interface needs in order to address the DRAM (e.g., to read from or to write to a particular address in DRAM). With a two-wire interface, reading and writing only occurs when the DRAM interface has both data (from preceding stages in the pipeline), and a valid address (from address generator). The use of a separate address generator simplifies the construction of both the address generator and the DRAM interface, as discussed further below.
In the present invention, the DRAM interface can operate from a clock which is asynchronous to both the address generator and to the clocks of the stages through which data is passed. Special techniques have been used to handle this asynchronous nature of the operation.
Data is typically transferred between the DRAM interface and the rest of the chip in blocks of 64 bytes (the only exception being prediction data in the Temporal Decoder).
Transfers take place by means of a device known as a "swing buffer~. This is essentially a pair of RAMs operated in a double-buffered configuration, with the DRAM interface filling or emptying one RAM while another part of the chip empties or fills the other RAM. A separate bus which carries an address from an address generator is associated with each 2l~sls8 swing buffer.
In the present invention, each of the chips has four swing buffers, but the function of these swing buffers is different in each case. In the spatial decoder, one swing buffer is used to transfer coded data to the DRAM, another to read coded data from the DRAM, the third to transfer tokenized data to the DRAM and the fourth to read tokenized data from the DRAM. In the Temporal Decoder, however, one swing buffer is used to write intra or predicted picture data to the DRAM, the second to read intra or predicted data from the DRAM and the other two are used to read forward and backward prediction data. In the video formatter, one swing buffer is used to transfer data to the DRAM and the other three are used to read data from the DRAM, one for each of luminance (Y) and the red and blue color difference data (Cr and Cb, respectively).
The following section describes the operation of a hypothetical DRAM interface which has one write swing buffer and one read swing buffer. Essentially, this is the same as the operation of the Spatial Decoder's DRAM interface. The operation is illustrated in Figure 23.
Figure 23 illustrates that the control interfaces between the address generator 301, the DRAM interface 302, and the remaining stages of the chip which pass data are all two wire interfaces. The address generator 301 may either generate addresses as the result of receiving control tokens, or it may merely generate a fixed sequence of addresses (e.g., for the FIFO buffers of the Spatial Decoder). The DRAM interface treats the two wire interfaces associated with the address generator 301 in a special way. Instead of keeping the accept line high when it is ready to receive an address, it waits for the address generator to supply a valid address, processes that address and then sets the accept line high for one clock period. Thus, it implements a request/acknowledge (REQ/ACK) protocol.
A unique feature of the DRAM interface 302 is its ability to communicate independently with the address generator 301 and with the stages that provide or accept the data. For example, the address generator may generate an address associated with the data in the write swing buffer (Figure 24), but no action will be taken until the write swing buffer signals that there is a block of data ready to be written to the external DRAM. Similarly, the write swing buffer may contain a block of data which is ready to be written to the external DRAM, but no action is taken until an address is supplied on the appropriate bus from the address generator 301. Further, once one of the RAMs in the write swing buffer has been filled with data, the other may be completely filled and "swung" to the DRAM interface side before the data input is stalled (the two-wire interface accept signal set low).
In understanding the operation of the DRAM interface 302 of the present invention, it is important to note that in a properly configured system, the DRAM interface will be able to transfer data between the swing buffers and the external DRAM 303 at least as fast as the sum of all the average data rates between the swing buffers and the rest of the chip.
Each DRAM interface 302 determines which swing buffer it will service next. In general, this will either be a "round robin" (i.e., the next serviced swing buffer is the next available swing buffer which has least recently had a turn), or a priority encoder, (i.e., in which some swing buffers have a higher priority than others). In both cases, an additional request will come from a refresh request generator which has a higher priority than all the other requests. The refresh request is generated from a refresh counter which can be programmed via the microprocessor interface.
Referring now to Figure 24, there is shown a block diagram of a write swing buffer. The write swing buffer interface includes two blocks of RAM, RAM1 311 and RAM2 312.
As discussed further herein, data is written into RAM1 311 and RAM2 312 from the previous stage, under the control of the write address 313 and control 314. From RAM1 311 and RAM2 312, the data is written into DRAM 515. When writing data into DRAM 315, the DRAM row address is provided by the address generator, and the column address is provided by the write address and control, as described further herein. In operation, valid data is presented at the input 316 (data in). Typically, the data is received from the previous stage. As each piece of data is accepted by the DRAM
interface, it is written into RAM1 311 and the write address control increments the RAM1 address to allow the next piece of data to be written into RAM1. Data continues to be written into RAM1 311 until either there is no more data, or RAMl is full. When RAM1 311 is full, the input side gives up control and sends a signal to the read side to indicate that RAMl is now ready to be read. This signal passes between two asynchronous clock regimes and, therefore, passes through three synchronizing flip flops.
Provided RAM2 312 is empty, the next item of data to arrive on the input side is written into RAM2. Otherwise, this occurs when RAM2 312 has emptied. When the round robin or priority encoder (depending on which is used by the particular chip) indicates that it is now the turn of this swing buffer to be read, the DRAM interface reads the contents of RAM1 311 and writes them to the external DRAM
315. A signal is then sent back across the asynchronous interface, to indicate that RAM1 311 is now ready to be filled again.
If the DRAM interface empties RAM1 311 and "swings" it before the input side has filled RAM2 312 , then data can be 21451`58 accep~ed by the swing buffer rontinually. Otherwise, when RAM2 is filled, the swing buffer will set its accept single low until RAMl has been "swung" back for use by the input side.
The operation of a read swing buffer, in accordance with the present invention, is similar, but with the input and output data busses reversed.
The DRAM interface of the present invention is designed to maximize the available memory bandwidth. Each 8x8 block of data is stored in the same DRAM page. In this way, full use can be made of DRAM fast page access modes, where one row address is supplied followed by many column addresses. In particular, row addresses are supplied by the address generator, while column addresses are supplied by the DRAM
interface, as discussed further below.
In addition, the facility is provided to allow the data bus to the external DRAM to be 8, 16 or 32 bits wide.
Accordingly, the amount of DRAM used can be matched to the size and bandwidth requirements of the particular application.
In this example (which is exactly how the DRAM interface on the Spatial Decoder works) the address generator provides the DRAM interface with block addresses for each of the read and write swing buffers. This address is used as the row address for the DRAM. The six bits of column address are supplied by the DRAM interface itself, and these bits are also used as the address for the swing buffer RAM. The data bus to the swing buffers is 32 bits wide. Hence, if the bus width to the external DRAM is less than 32 bits, two or four external DRAM accesses must be made before the next word is read from a write swing buffer or the next word is written to a read swing buffer (read and write refer to the direction of transfer relative to the external DRAM).
The situation is more complex in the case of the -Temporal Decoder and the Video Formatter. The Temporal Decoder's addressing is more complex because of its predictive aspects as discussed further in this section. The video formatter's addressing is more complex because of multiple video output standard aspects, as discussed further in the sections relating to the video formatter.
As mentioned previously, the Temporal Decoder has four swing buffers: two are used to read and write decoded intra and predicted (I and P) picture data. These operate as described above. The other two are used to receive prediction data. These buffers are more interesting.
In general, prediction data will be offset from the position of the block being processed as specified in the motion vectors in x and y. Thus, the block of data to be retrieved will not generally correspond to the block boundaries of the data as it was encoded (and written into the DRAM). This is illustrated in Figure 25, where the shaded area represents the block that is being formed whereas the dotted outline represents the block from which it is being predicted. The address generator converts the address specified by the motion vectors to a block offset (a whole number of blocks), as shown by the big arrow, and a pixel offset, as shown by the little arrow.
In the address generator, the frame pointer, base block address and vector offset are added to form the address of the block to be retrieved from the DRAM. If the pixel offset is zero, only one request is generated. If there is an offset in either the x or y dimension then two requests are generated, i.e., the original block address and the one immediately below. With an offset in both x and y, four requests are generated. For each block which is to be retrieved, the address generator calculates start and stop addresses which is best illustrated by an example.
Consider a pixel offset of (1,1), as illustrated by the shaded area in Figure 26. The address generator makes four requests, labelled A through D in the Figure. The problem to be solved is how to provide the required sequence of row addresses quickly. The solution is to use "start/stop"
technology, and this is described below.
Consider block A in Figure 26. Reading must start at position (1,1) and end at position (7,7). Assume for the moment that one byte is being read at a time (i.e., an 8 bit DRAM interface). The x value in the co-ordinate pair forms the three LSBs of the address, the y value the three MS8.
The x and y start values are both 1, providing the address, 9. Data is read from this address and the x value is incremented. The process is repeated until the x value reaches its stop value, at which point, the y value is incremented by 1 and the x start value is reloaded, giving an address of 17. As each byte of data is read, the x value is again incremented until it reaches its stop value. The process is repeated until both x and y values have reached their stop values. Thus, the address sequence of 9, 10, 11, 12, 13, 14, 15, 17... , 23, 25, ... ,31, 33,... ,... ,57,... ,63 is generated.
In a similar manner, the start and stop co-ordinates for block B are: (1,0) and (7,0), for block C: (0,1) and (0,7), and for block D: (0,0) and (0,0).
The next issue is where this data should be written.
Clearly, looking at block A, the data read from address 9 should be written to address 0 in the swing buffer, while the data from address 10 should be written to address 1 in the swing buffer, and so on. Similarly, the data read from address 8 in block B should be written to address 15 in the swing buffer and the data from address 16 should be written to address 15 in the swing buffer. This function turns out to have a very simple implementation, as outlined below.
Consider block A. At the start of reading, the swing buffer address register i8 loaded with the inverse of the stop value. The y inverse stop value forms the 3 MSBs and the x inverse stop value forms the 3 LSB. In this case, while the DRAM interface is reading address 9 in the external DRAM, the swing buffer address is zero. The swing buffer address register is then incremented as the external DRAM
address register is incremented, as consistent with proper prediction addressing.
The discussion so far has centered on an 8 bit DRAM
interface. In the case of a 16 or 32 bit interface, a few minor modifications must be made. First, the pixel offset vector must be "clipped" so that it points to a 16 or 32 bit boundary. In the example we have been using, for block A, the first DRAM read will point to address 0, and data in addresses 0 through 3 will be read. Second, the unwanted data must be discarded. This is performed by writing all the data into the swing buffer (which must now be physically larger than was necessary in the 8 bit case) and reading with an offset. When performing MPEG half-pel interpolation, 9 bytes in x and/or y must be read from the DRAM interface. In this case, the address generator provides the appropriate start and stop addresses. Some additional logic in the DRAM
interface is used, but there is no fundamental change in the way the DRAM interface operates.
The final point to note about the Temporal Decoder DRAM
interface of the present invention, is that additional information must be provided to the prediction filters to indicate what processing is required on the data~ This consists of the following:
a "last byte" signal indicating the last byte of a transfer (of 64,72 or 81 bytes);
an H.261 flag;
a bidirectional prediction flag;
two bits to indicate the block's dimensions (8 or 9 bytes in x and y); and a two bit number to indicate the order of the blocks.
The last byte flag can be generated as the data is read out of the swing buffer. The other signals are derived from the address generator and are piped through the DRAM
interface so that they are associated with the correct block of data as it is read out of the swing buffer by the prediction filter block.
In the Video Formatter, data is written into the external DRAM in blocks, but is read out in raster order.
Writing is exactly the same as already described for the Spatial Decoder, but reading is a little more complex.
The data in the Video Formatter, external DRAM is organized so that at least 8-blocks of data fit into a single page. These 8 blocks are 8 co~secutive horizontal blocks.
When rasterizing, 8 bytes need to be read out of each of 8 consecutive blocks and written into the swing buffer (i.e., the same row in each of the 8 blocks).
Considering the top row (and assuming a byte-wide interface), the x address (the three LSBS) is set to zero, as is the y address (3 MSBS). The x address is then incremented as each of the first 8 bytes are read out. At this point, the top part of the address (bit 6 and above - LSB = bit 0) is incremented and the x address (3 LSBS) is reset to zero.
This process is repeated until 64 bytes have been read. With a 16 or 32 bit wide interface to the external DRAM the x address is merely incremented by two or four, respectively, instead of by one.
In the present invention, the address generator can signal to the DRAM interface that less than 64 bytes should be read (this may be required at the beginning or end of a raster line), although a multiple of 8 bytes is always read.
This is achieved by using start and stop values. The start value is used for the top part of the address (bit 6 and above), and the stop value is compared with the start value to generate the signal which indicates when reading should stop.
The DRAM interface timing block in the present invention uses timing chains to place the edges of the DRAM signals to a precision of a quarter of the system clock period. Two quadrature clocks from the phase locked loop are used. These are combined to form a notional 2x clock. Any one chain is then made from two shift registers in parallel, on opposite phases of the 2x clock.
First of all, there is one chain for the page start cycle and another for the read/write/refresh cycles. The length of each cycle is programmable via the microprocessor interface, after which the page start chain has a fixed length, and the cycle chain's length changes as appropriate during a page start.
On reset, the chains are cleared and a pulse is created.
The pulse travels along the chains and is directed by the state information from the DRAM interface. The pulse generates the DRAM interface clock. Each DRAM interface clock period corresponds to one cycle of the DRAM, consequently, as the DRAM cycles have different lengths, the DRAM interface clock is not at a constant rate.
Moreover, additional timing chains combine the pulse from the above chains with the information from the DRAM
interface to generate the output strobes and enables such as notcas, notras, notwe, notbe.

12. PREDICTION ~ILTER8 Referring again to Figures 12, 17, 18, and more particularly to Figure 12, there is shown a block diagram of the Temporal Decoder. This includes the prediction filter.
The relationship between the prediction filter and the rest of the elements of the temporal decoder is shown in greater -detail in Figure 17. The essence of the structure of the prediction filter is shown in Figures 18 and 28. A detailed description of the operation of the prediction filter can be found in the section, "More Detailed Description of the Invention."
In general, the prediction filter in accordance with the present invention, is used in the MPEG and H.261 modes, but not in the JPEG mode. Recall that in the JPEG mode, the Temporal Decoder just passes the data through to the Video Formatter, without performing any substantive decoding beyond that accomplished by the Spatial Decoder. Referring again to Figure 18, in the MPEG mode the forward and backward prediction filters are identical and they filter the respective MPEG forward and backward prediction blocks. In the H.261 mode, however, only the forward prediction filter is used, since H.261 does not use backward prediction.
Each of the two prediction filters of the present invention is substantially the same. Referring again to Figures 18 and 28 and more particularly to Figure 28, there is shown a block diagram of the structure of a prediction filter. Each prediction filter consists of four stages in series. Data enters the format stage 331 and is placed in a format that can be readily filtered. In the next stage 332 an I-D prediction is performed on the X-coordinate. After the necessary transposition is performed by a dimension buffer stage 333, an I-D prediction is performed on the Y-coordinate in stage 334. How the stage perform the filtering is further described in greater detail subsequently. Which filtering operations are required, are defined by the compression standard. In the case of H.261, the actual filtering performed is similar to that of a low pass filter.
Referring again to Figure 17, multi-standard operation requires that the prediction filters be reconfigurable to perform either MPEG or H.261 filtering, or 2Ig5158 to perform no filtering at all in JPEG mode. As with many other reconfigurable aspects of the three chip system, the prediction filter is reconfigured by means of tokens. Tokens are also used to inform the address generator of the particular mode of operation. In this way, the address generator can supply the prediction filter with the addresses of the needed data, which varies significantly between MPEG
and JPEG.
13. ~CC~6INa REGI8TFR8 Most registers in the microprocessor interface (MPI) can only be modified if the stage with which they are associated is stopped. Accordingly, groups of registers will typically be associated with an access register. The value zero in an access register indicates that the group of registers associated with that particular access register should not be modified. Writing 1 to an access register reguests that a stage be stopped. The stage may not stop immediately, however, so the stages access register will hold the value, zero, until it is stopped.
Any user software associated with the MPI and used to perform functions by way of the MPI should wait "after writing a 1 to a request access register" until 1 is read from the access register. If a user writes a value to a configuration register while its access register is set to zero, the results are undefined.

1~. MICRO-PROCE880R INTERFACE
A standard byte wide micro-processor interface (MPI) is used on all circuits with in the Spatial Decoder and Temporal Decoder. The MPI operates asynchronously with various Spatial and Temporal Decoder clocks. Referring to Table A.6.1 of the subsequent further detailed description, there is shown the various MPI signals that are used on this interface. The character of the signal is shown on the input/output column, the signal name is shown on the signal name column and a description of the function of the signal is shown in the description column. The MPI
electrical specification are shown with reference to Table A.6.2. All the specifications are cla~sified according to type and there types are shown in the column entitled symbol. The description of what these symbols represent is shown in the parameter column. The actual specifications are shown in the respective columns min, max and units.
The DC operating conditions can be seen with reference to Table A.6.3. Here the column headings are the same as with reference to Table A.6.2. The DC electrical characteristics are shown with reference to Table A.6.4 and carry the same column headings as depicted in Tables A.6.2 and A.6.3.

15. MPI READ TIMING
The AC characteristics of the MPI read timing diagrams are shown with reference to Figure 54. Each line of the Figure is labelled with a corresponding signal name and the timing is given in nano-seconds. The full microprocessor interface read timing characteristics are shown with reference to Table A.6.5. The column entitled Number is used to indicate the signal corresponding to the name of that signal as set forth in the characteristic column. The columns identified by MIN and MAX provide the minimum length of time that the signal is present the maximum amount of time that this signal is available. The Units column gives the units of measurement used to describe the signals.

16. MPI ~RITE TIMING
The general description of the MPI write timing diagrams 21~S158 are shown with reference to Figure 54. This Figure shows each individual signal name ac associated with the MPI
write timing. The name, the characteristic of the signal, and other various physical characteristics are shown with reference to Table 6.6.

17. ~OL~ ~n~r~ LOCATION8 In the present invention, certain less frequently accessed memory map locations have been placed behind keyhole registers. A keyhole register has two registers associated with it. The first register is a keyhole address register and the second register is a keyhole data register. The keyhole address specifies a location within a extended address space. A read or a write operation to a keyhole data register accesses the locations specified by the keyhole address register. After accessing a keyhole data register, the associated keyhole address register increments. Random access within the extended address space is only possible by writing in a new value to the keyhole address register for each access. A circuit within the present invention may have more than one keyhole memory maps. Nonetheless, there is no interaction between the different keyholes.

18. PICTURE-~ND
Referring again to Figure 11, there is shown a general block diagram of the Spatial Decoder used in the present invention. It is through the use of this block diagram that the function of PICTURE_END will be described.
The PICTURE END function has the multi-standard advantage of being able to handle H.261 encoded picture information, MPEG and JPEG signals.
As previously described, the system of Figure 11 is interconnected by the two wire interface previously described. Each of the functional blocks is arranged to operate according to the state machine configuration shown with reference to Figure 10.
In general, the PICTURE END function in accordance with the invention begins at the Start Code Detector which generates a PICTURE END control token. The PICTURE END
control token is passed unaltered through the start-up control circuit to the DRAM interface. Here it is used to flush out the write swing buffers in the DRAM interface.
Recall, that the contents of a swing buffer are only written to RAM when the buffer is full. However, a picture may end at a point where the buffer is not full, therefore, causing the picture data to become stuck. The PICTURE_END
token forces the data out of the swing buffer.
Since the present invention is a multi-standard machine, the machine operates differently for each compression standard. More particularly, the machine is fully described as operating pursuant to machine-dependent action cycles. For each compression standard, a certain number of the total available action cycles can be selected by a combination of control tokens and/or output signals from the MPU or they can be selected by the design of the control tokens themselves. In this regard, the present invention is organized so as to delay the information from going into subsequent blocks until all of the information has been collected in an upstream block. The system waits until the data has been prepared for passing to the next stage. In this way, the PICTURE END signal is applied to the coded data buffer, and the control portion of the PICTURE_END signal causes the contents of the data buffers to be read and applied to the Huffman decoder and video demultiplexor circuit.
Another advantage of the PICTURE END control token is to identify, for the use by the Huffman decoder ~ 141 demultiplexor, the end of picture even though it has not had the typically expected full range and/or number of signals applied to the Huffman decoder and video demultiplexor circuit. In this situation, the information S held in the coded data buffer is applied to the Huffman decoder and video demultiplexor as a total picture. In this way, the state machine of the Huffman decoder and video demultiplexor can still handle the data according to system design.
Another advantage of the PICTURE END control token is its ability to completely empty the coded data buffer so that no stray information will inadvertently remain in the off chip DRAM or in the swing buffers.
Yet another advantage of the PICTURE END function is its use in error recovery. For example, assume the amount of data being held in the coded data buffer is less than is typically used for describing the spatial information with reference to a single picture. Accordingly, the last picture will be held in the data buffer until a full swing buffer, but, by definition, the buffer will never fill. At some point, the machine will determine that an error condition exits. Hence, to the extent that a PICTURE END
token is decoded and forces the data in the coded data buffers to be applied to the Huffman decoder and video demultiplexor, the final picture can be decoded and the information emptied from the buffers. Consequently, the machine will not go into error recovery mode and will successfully continue to process the coded data.
A still further advantage of the use of a PICTURE END
token is that the serial pipeline processor will continue the processing of uninterrupted data. Through the use of a PICTURE_END token, the serial pipeline processor is configured to handle less than the expected amount of data and, therefore, continues processing. Typically, a prior art machine would stop itself because of an error condition. As previously described, the coded data buffer counts macroblocks as they come into its storage area. In addition, the Huffman Decoder and Video Demultiplexor generally know the amount of information expected for decoding each picture, i.e., the state machine portion of the Huffman decode and Video Demultiplexor know the number of blocks that it will process during each picture recovery cycle. When the correct number of blocks do not arrive from the coded data buffer, typically an error recovery routine would result. However, with the PICTURE_END
control token having reconfigured the Huffman Decoder and Video Demultiplexor, it can continue to function because the reconfiguration tells the Huffman Decoder and Video Demultiplexor that it is, indeed, handling the proper amount of information.
Referring again to Figure 10, the Token Decoder portion of the Buffer Manager detects the PICTURE_END
control token generated by the Start Code Detector. Under normal operations, the buffer registers fill up and are emptied, as previously described with reference to the normal operation of the swing buffers. Again, a swing buffer which is partially full of data will not empty until it is totally filled and/or it knows that it is time to empty. The PICTURE_END control token is decoded in the Token Decoder portion of the Buffer Manager, and it forces the partially full swing buffer to empty itself into the coded data buffer. This is ultimately passed to the Huffman Decoder and Video Demultiplexor either directly or through the DRAM interface.

19. FLU8HING OPE~ATION
Another advantage of the PICTURE_END control token is its function in connection with a FLUSH token. The FLUSH

token is not associated with either controlling the reconfiguration of the state machine or in providing data for the system. Rather, it completes prior partial signals for handling by the machine-dependent ~tate machines. Each of the state machines recognizes a FLUSH control token as information not to be processed. Accordingly, the FLUSH
token is used to fill up all of the remaining empty parts of the coded data buffers and to allow a full set of information to be sent to the Huffman Decoder and Video Demultiplexor. In this way, the FLUSH token is like padding for buffers.
The Token Decoder in the Huffman circuit recognizes the FLUSH token and ignores the pseudo data that the FLUSH
token has forced into it. The Huffman Decoder then operates only on the data contents of the last picture buffer as it existed prior-to the arrival of the PICTURE_END token and FLUSH token. A further advantage of the use of the PICTURE_END token alone or in combination with a FLUSH
token is the reconfiguration and/or reorganization of the Huffman Decoder circuit. With the arrival of the PICTURE END token, the Huffman Decoder circuit knows that it will have less information than normally expected to decode the last picture. The Huffman decode circuit finishes processing the information contained in the last picture, and outputs this information through the DRAM
interface into the Inverse Modeller. Upon the identification of the last picture, the Huffman Decoder goes into its cleanup mode and readjusts for the arrival of the next picture information.
20. FLU8U FUNCTION
The FLUSH token, in accordance with the present invention, is used to pass through the entire pipeline processor and to ensure that the buffers are emptied and that other circuits are reconfigured to await the arrival of new data. More specifically, the present invention comprises a combination of a PICTURE_END token, a padding word and a FLUSH token indicating to the serial pipeline processor that the picture processing for the current picture form is completed. Thereafter, the various state machines need reconfiguring to await the arrival of new data for new handling. Note also that the FLUSH Token acts as a special reset for the system. The FLUSH token resets each stage as it passes through, but allows subsequent stages to continue processing. This prevents a loss of data. In other words, the FLUSH token is a variable reset, as opposed to, an absolute reset.

21. 8TOP-AFTER PICT~RE
The STOP_AFTER PICTURE function is employed to shut lS down the processing of the serial pipeline decompressing circuit at a logical point in its operation. At this point, a PICTURE_END token is generated indicating that data is finished coming in from the data input line, and the padding operation has been completed. The padding function fills partially empty DATA tokens. A FLUSH token is then generated which passes through the serial pipeline system and pushes all the information out of the registers and forces the registers back into their neutral stand-by condition. The STOP_AFTER_PICTURE event is then generated and no more input is accepted until either the user or the system clears this state. In other words, while a PICTURE_END token signals the end of a picture, the STOP_AFTER_PICTURE operation signals the end of all current processing.

22. ~ULTI-8TANDARD - 8EARCH MODE
Another feature of the present invention is the use of a SEARCH_MODE control token which is used to reconfigure 2I g5158 the input to the serial pipeline processor to look at the incoming bit stream. When the search mode is set, the Start Code Detector searches only for a specific start code or marker used in any one of the compression standards. It will be appreciated, however, that, other images from other data bitstreams can be used for this purpose. Accordingly, these images can be used throughout this present invention to change it to another embodiment which is capable of using the combination of control tokens, and DATA tokens along with the reconfiguration circuits, to provide similar processing.
The use of search mode in the present invention is convenient in many situations including 1) if a break in the data bit stream occurs; 2) when the user breaks the lS data bit stream by purposely changing channels, e.g., data arriving, by a cable carrying compressed digital video, or 3) by user activation of fast forward or reverse from a controllable data source such as an optical disc or video disc. In general, a search mode is convenient when the user interrupts the normal processing of the serial pipeline at a point where the machine does not expect such an interruption.
When any of the search modes are set, the Start Code Detector looks for incoming start images which are suitable for creating the machine independent tokens. All data coming into the Start Code Detector prior to the identification of standard-dependent start images is discarded as meaningless and the machine stands in an idling condition as it waits this information.
The Start Code Detector can assume any one of a number of configurations. For example, one of these configurations allows a search for a group of pictures or higher start codes. This pattern causes the Start Code Detector to discard all its input and look for the group start standard image. When such an image is identified, the Start Code Detector generates a GROUP START
token and the search mode is reset automatically.
It is important to note that a single circuit, the Huffman Decoder and Video Demultiplex circuit, is operating with a combination of input signals including the standard-independent set-up signals, as well as, the CODING_STANDARD
signals. The CODING_STANDARD signals are conveying information directly from the incoming bit stream as required by the Huffman Decoder and Video Demultiplex circuit. Nevertheless, while the functioning of the Huffman Decoder and Video Demultiplex circuit is under the operation of the standard independent sequence of signals.
This mode of operation has been selected because it is the most efficient and could have been designed wherein special control tokens are employed for conveying the standard-dependent input to the Huffman Decoder and Video Demultiplexer instead of conveying the actual signals themselves.

23. INVER8E ~ODELLER
Inverse modeling is a feature of all three standards, and is the same for all three standards. In general, DATA
tokens in the token buffer contain information about the values of the quantized coefficients, and about the number of zeros between the coefficients that are represented (a form of run length coding). The Inverse Modeller of the present invention has been adapted for use with tokens and simply expands the information about runs of zeros so that each DATA Token contains the requisite 64 values.
Thereafter, the values in the DATA Tokens are quantized coefficients which can be used by the Inverse Quantizer.

2~. INVER8E QUANTIZER

-The Inverse Quantizer of the present invention is a required element in the decoding sequence, but has been implemented in such away to allow the entire IC set to handle multi-standard data. In addition, the Inverse Quantizer has been adapted for use with tokens. The Inverse Quantizer lies between the Inverse modeller and inverse DCT (IDCT).
For example, in the present invention, an adder in the Inverse Quantizer is used to add a constant to the pel decode number before the data moves on to the IDCT.
The IDCT uses the pel decode number, which will vary according to each standard used to encode the information.
In order for the information to be properly decoded, a value of 1024 is added to the decode number by the Inverse Quantizer before the data continues on to the IDCT.
Using adders, already present in the Inverse Quantizer, to standardize the data prior to it reaching the IDCT, eliminates the need for additional circuitry or software in the IC, for handling data compressed by the various standards. Other operations allowing for multi-standard operation are performed during a "post quantization function" and are discussed below.
The control tokens accompanying the data are decoded and the various standardization routines that need to be performed by the Inverse Quantizer are identified in detail below. These "post quantization" functions are all implemented to avoid duplicate circuitry and to allow the IC to handle multi-standard encoded data.

25. nu~N DECODER AND PAR8ER
Referring again to Figures 11 and 27, the Spatial Decoder includes a Huffman Decoder for decoding the data that the various compression standards have Huffman-encoded. While each of the standards, JPEG, MPEG and H.261, require certain data to be Huffman encoded, the Huffman decoding required by each standard differs in some significant ways. In the Spatial Decoder of the present invention, rather than design and fabricate three separate Huffman decoders, one for each standard, the present invention saves valuable die space by identifying common aspects of each Huffman Decoder, and fabricating these common aspects only once. Moreover, a clever multi-part algorithm is used that makes common more aspects of each Huffman Decoder common to the other standards as well than would otherwise be the case.
In brief, the Huffman Decoder 321 works in conjunction with the other units shown in Figure 27. These other units are the Parser State Machine 322, the inshifter 323, the Index to Data unit 324, the ALU 325, and the Token Formatter 326. As described previously, connection between these blocks is governed by a two wire interface. A more detailed description of how these units function is subsequently described herein in greater detail, the focus here is on particular aspects of the Huffman Decoder, in accordance with the present invention, that support multi-standard operation.
The Parser State Machine of the present invention, is a programmable state machine that acts to coordinate the operation of the other blocks of the Video Parser. In response to data, the Parser State Machine controls the other system blocks by generating a control word which is passed to the other blocks, side by side with the data, upon which this control word acts. Passing the control word alongside the associated data is not only useful, it is essential, since these blocks are connected via a two-wire interface. In this way, both data and control arrive at the same time. The passing of the control word is indicated in Figure 27 by a control line 327 that runs , beneath the data line 328 that connects the blocks. Among other things, this code word identifies the particular standard that is being decoded.
The Huffman decoder 321 also performs certain control functions. In particular, the Huffman Decoder 321 contains a state machine that can control certain functions of the Index to Data 324 and ALU 325. Control of these units by the Huffman Decoder i5 necessary for proper decoding of block-level information. Having the-Parser State Machine 322 make these decisions would take too much time.
An important aspect of the Huffman Decoder of the present invention, is the ability to invert the coded data bits as they are read into the Huffman Decoder. This is-needed to decode H.261 style Huffman codes, since the particular type of Huffman code used by H.261 (and substantially by MPEG) has the opposite polarity then the codes used by JPEG. The use of an inverter, thereby, allows substantially the same table to be used by the Huffman Decoder for all three standards. Other aspects of how the Huffman Decoder implements all three standards are discussed in further detail in the "More Detailed Description of the Invention" section.
The Index to Data unit 324 performs the second part of the multi-part algorithm. This unit contains a look up table that provides the actual Huffman decoded data.
Entries in the table are organized based on the index numbers generated by the Huffman Decoder.
The ALU 32S implements the remaining parts of the multi-part algorithm. In particular, the ALU handles sign-extension. The ALU also includes a register file whichholds vector predictions and DC predictions, the use of which is described in the sections related to prediction filters. The ALU, further, includes counters that count through the structure of the picture being decoded by the Spatial Decoder. In particular, the dimensions of the picture are programmed into registers associated with the counters, which facilitates detection of "start of picture, n and start of macroblock codes.
In accordance with the present invention, the Token Formatter 326 (TF) assembles decoded data into DATA tokens that are then passed onto the remaining stages or blocks in the Spatial Decoder.
In the present invention, the in shifter 323 receives data from a FIFO that buffers the data passing through the Start Code Detector. The data received by the inshifter is generally of two types: DATA tokens, and start codes which the Start Code Detector has replaced with their respective tokens, as discussed further in the token section. Note that most of the data will be DATA tokens that require decoding.
The ln shifter 323 serially passes data to the Huffman Decoder 321. On the other hand, it passes control tokens in parallel. In the Huffman decoder, the Huffman encoded data is decoded in accordance with the first part of the multi-part algorithm. In particular, the particular Huffman code is identified, and then replaced with an index number.
The Huffman Decoder 321 also identifies certain data that requires special handling by the other blocks shown in Figure 27. This data includes end of block and escape. In the present invention, time is saved by detecting these in the Huffman Decoder 321, rather than in the Index to Data unit 324.
This index number-is then passed to the Index to Data unit 324. In essence, the Index to Data unit is a look-up table. In accordance with one aspect of the algorithm, the look-up table is little more than the Huffman code table specified by JPEG. Generally, it is in the condensed data format that JPEG specifies for transferring an alternate JPEG table.
From the Index to Data unit 324, the decoded index number or other data is passed, together with the accompanying control word, to the ALU 325, which performs the operations previously described.
From the ALU 325, the data and control word is passed to the Token Formatter 326 (TF). In the Token Formatter, the data is combined as needed with the control word to form tokens. The tokens are then conveyed to the next stages of the Spatial Decoder. Note that at this point, there are as many tokens as will be used by the system.

26. INVER8E DIBCaETE CO8INE TRAN8FOa~
The Inverse Discrete Cosine Transform (IDCT), in accordance with the present invention, decompresses data related to the frequency of the DC component of the picture. When a particular picture is being compressed, the frequency of the light in the picture is quantized, reducing the overall amount of information needed to be stored. The IDCT takes this quantized data and decompresses it back into frequency information.
The IDCT operates on a portion of the picture which is 8x8 pixels in size. The math which performed on this data is largely governed by the particular standard used to encode the data. However, in the present invention, significant use is made of common mathematical functions between the standards to avoid unnecessary duplication of circuitry.
Using a particular scaling order, the symmetry between the upper and lower portions of the algorithms is increased, thus common mathematical functions can be reused which eliminates the need for additional circuitry.

~~ 152 The IpCT responds to a number of multi-standard tokens.
The first portion of the IDCT checks the entering data to ensure that the DATA tokens are of the correct size for processing. In fact, the token stream can be corrected in some situations if the error is not too large.

27. ~r~ MANAGER
The Buffer Manager of the present invention, receives incoming video information and supplies the address generators with information on the timing of the datas arrival, display and frame rate. Multiple buffers are used to allow changes in both the presentation and display rates. Presentation and display rates will typically vary in accordance with the data that was encoded and the monitor on which the information is being displayed. Data arrival rates will generally vary according to errors in encoding, decoding or the source material used to create the data. When information arrives at the Buffer Manager, it is decompressed. However, the data is in an order that is useful for the decompression circuits, but not for the particular display unit being used. When a block of data enters the Buffer Manager, the Buffer Manager supplies information to the address generator so that the block of data can be placed in the order that the display device can use. In doing this, the Buffer Manager takes into account the frame rate conversion necessary to adjust the incoming data blocks so they are presentable on the particular display device being used.
In the present invention, the Buffer Mnager primarily supplies information to the address generators.
~0 Nevertheless, it is also required to interface with other elements of the system. For example, there is an interface wlth an input FIFo which transfers tokens to the Buffer ~.anager ~hlch, in turn, passes these tokens on to the write f address ~enerators.
- The Buffer Manager also interfaces with the display address generators, receiving information on whether the display device is ready to display new data. The Buffer Manager also confirms that the display address generators have cleared information from a buffer for display.
The Buffer Manager of the present invention keeps track of whether a particular buffer is empty, full, ready for use or in use. It also keeps track of the presentation number associated with the particular data in each buffer.
In this way, the Buffer Manager determines the states of the buffers, in part, by making only one buffer at a time ready for display. Once a buffer is displayed, the buffer is in a "vacant" state. When the Buffer Manager receives a PICTURE_START, FLUSH, valid or access token, it determines the status of each buffer and its readiness to accept new data. For example, the PICTURE START token causes the Buffer Manager to cycle through each buffer to find one which is capable of accepting the new data.
The Buffer Manager can also be configured to handle the multi-standard requirements dictated by the tokens it receives. For example, in the H.261 standard, data maybe skipped during display. If such a token arrives at the Buffer Mnager, the data to be skipped will be flushed from 2~ the buffer in which it is stored.
Thus, by managing the buffers, data can be effectively displayed according to the compression standard used to encode the data, the rate at which the data is decoded and the particular type of display device being used.

The foregoing description is believed to adequately describe the overall concepts, system implementation and operation of the various aspects of the invention in sufficient detail to enable one of ordinary skill in the art to make and practice the invention with all of its attendant features, objects and advantages.
However, in order to facilitate a further, more detailed in depth understanding of the invention, and additional details in connection with even more specific, commercial implementation of various embodiments of the invention, the following further description and explanation is pr~ferred.

` 2145158 This ic a more detailed description for a multi-standard video deco~r chip-set. It is divided into three main sections: A, B and C.
Again, for pu~ es of organization, clarity and convenience of explanation, this additional disclosure is set forth in the following sections.
Description of features common to chips in the chip-set:
Tokens Two wire interfaces DRAM interface Microprocessor interface Clocks Description of the Spatial Decoder chip Description of thè Temporal Decoder chip SECIION A.l The first description section covers the majority of the electrical design issues associated with using the chip-set.
A.l.l ~G~l~phiC con~-~tions A small set of typographic conventions is used to emphasize some classes of information:
NAME8 OF TO~EN8 wire name active high signal wire name active low signal register name SECTI 3~Y A.2 Video Decoder Family 30 MHz operation Decodes MPEG, JPEG & H.261 Coded data rates to 25 Mb/s Video data rates to 21 MB/s MPEG resolutions up to 704 x 480, 30 Hz, 4:2:0 Flexible chroma sampling formats Full JPEG baseline decoding Glue-less page mode DRAM interface 208 pin PQFP package Independent coded data and decoder clocks Re-orders MPEG picture sequence The Video decoder family provides a low chip count solution for implementing high resolution digital video decoders. The chip-set is currently configurable to support three different video and picture coding systems:
JPEG, MPEG and H.261.
Full JPEG baseline picture decoding is supported.
720 x 4~0, 30 Hz, 4:2:2 JPEG encoded video can be decoded in real-time.
CIF (Common Interchange Format) and QCIF H.261 video can be decoded. Full feature MPEG video with formats up to 740 x 480, 30 Hz, 4:2:0 can be decoded.
Note: The above values are merely illustrative, by way of example and not necessarily by way of limitation, of one embodiment of the present invention. Accordingly, it will be appreciated that other values and/or ranges may be used.

A.2.1 System configurations A.2.1.1 Output formatting In each of the examples given below, some form of output formatter will be required to take the data presented at the output of the Spatial Decoder cr Temporal Decoder and 21~SlS8 re-fo~ma~ it for a computer or display system. The details of this formatting will vary between applications. In a simple case, all that is required is an address generator to take the block formatted data output by the decoder chip and write it into memory in a raster order.
The Image Formatter is a single chip VLSI device providing a wide range of output formatting functions.
A.2.1.2 JPEG still pictur- d-coding A single Spatial Decoder, with no-off-chip DRAM, can rapidly decode baseline JPEG images. The Spatial Decoder will support all features of baseline JPEG. However, the image size that can be decoded may be limited by the size of the output buffer provided by the user. The characteristics of the output formatter may limit the chroma sampling formats and color spaces that can be supported.
A.2.1.3 JPEG video d-coding Adding off-chip DRAMs to the Spatial Decoder allows it to decode JPEG encoded video pictures in real-time. The size and speed of the required buffers will depend on the video and coded data rates. The Temporal Decoder is not required to decode JPEG encoded video. However, if a Temporal Decoder is present in a multi-standard decoder chip-set, it will merely pass the data through the Temporal Decoder without alteration or modification when the system is configured for JPEG operation.
A.2.1.4 H.261 decoding The Spatial Decoder and the Temporal Decoder are both required to implement an H.261 video decoder. The DRAM
interfaces on both devices are configurable to allow the quantity of DRAM required for proper operation to be reduced when working with small picture formats and at low coded data rates. Typically, a single 4Mb (e.g. 512k x ~) DRAM will be required by each of the Spatial Decoder and the Temp~ral Decoder.
A.2.1.5 MPEG decoding The configuration required for MPEG operation is the same as for H.261. However, as will be appreciated by one of ordinary skill in the art, larger DRAM buffers may be required to support the larger picture formats possible with MPEG.

SECTION A.3 Tokens A.3.1 Tok-n fors-t In accordance with the present invention, tokens provide an extensible format for communicating information through the decoder chip-set. While in the present invention, each word of a Token is a minimum of 8 bits wide, one of ordinary skill in the art will appreciate that tokens can be of any width. Furthermore, a single Token can be spread over one or more words; this is accomplished using an extension bit in each word. The formats for the tokens are summarized in Table A.3.1.
The extension bit indicates whether a Token continues into another word. It is set to 1 in all words of a Token except the last one. If the first word of a Token has an extension bit of 0, this indicates that the Token is only one word long.
Each Token is identified by an Address Field that starts in bit 7 of the first word of the Token. The Address Field is of variable length and can potentially extend over multiple words (in the current chips no address is more than 8 bits long, however, one of ordinary skill in the art will again appreciate that addresses can be of any length).
Some interfaces transfer more than 8 bits of data. For example, the output of the Spatial Decoder is 9 bits wide (10 bits including the extension bit). The only Token that takes advantage of these extra bits is the DATA Token. The DATA Token can have as many bits as are necessary for carrying out processing at a particular place in the system. All other Tokens ignore the extra bits.

.

A.3.2 - The DATA Token The DATA Token carries data from one processing stage to the next. Consequently, the characteristics of this Token change as it passes through the decoder. Furthermore, the meaning of the data carried by the DATA Token varies depending on where the DATA Token is within the system, i.e., the data is position dependent. In this regard, the data may be either frequency domain or Pel domain data depending on where the DATA Token is within the Spatial Decoder. For example, at the input of the Spatial Decoder, DATA Tokens carry bit serial coded video data packed into 8 bit words. At this point, there is no limit to the length of each Token. In contrast, however, at the output of the Spatial Decoder each DATA Token carries exactly 64 words and each word is 9 bits wide.
A.3.3 Using Token formatted data In some applications, it may be necessary for the circuitry that connect directly to the input or output of the Decoder or chip set. In most cases it will be sufficient to collect DATA Tokens and to detect a few Tokens that provide synchronization information (such as PICTURE_START). In this regard, see subsequent sections A.16, "Connecting to the output of Spatial Decoder", and A.l9, "Connecting to the output of the Temporal Decoder".
As discussed above, it is sufficient to observe activity on the extension bit to identify when each new Token starts. Again, the extension bit signals the last word of the current token. In addition, the Address field can be tested to identify the Token. Unwanted or unrecognized Tokens can be consumed (and discarded) without knowledge of thelr content. However, a recognized token causes an appropriate action to occur.

Furthermore, the data input to the Spatial Decoder can either be supplied as bytes of coded data, or in DATA
Tokens (see Section A.10, "Coded data input"). Supplying Tokens via the coded data port or via the microprocessor interface allows many of the features of the decoder chip set to be configured from the data stream. This provides an alternative to doing the configuration via the micro processor interface.

2 1 6 1 5 4 3 2 1 0 Tol~en N~rr~ Reler~rce O o 1 t;lUANT_SCALE
c ~ o PREDICTION_MODE
o ', 1 1 (r~#rved) o o MVD_FORWARDS
1 o 1 MVD_3ACKWARDS
o o o 0 1 QUANT_TABLE
0~ 0 0 0 0 l DATA
o o o 0 COMPONENT_NAME
o o o 1 DEFINE_SAMPLING
o o 1 o JPEG_TABLE_SELECT
o o 1 1 MPEG_TABLE_SELECT
0 1 o o TEMPORAL_htl ttltNCE
o 1 o 1 MPEG_DCH_TABLE
, 1 0 1 1 0 (r~ed) 1 0 1 1 1 (r~-d) 0 0 O O (r~rved) SAVE_STATE
0 0 0 1 (r~v d) RESTORE_STATE
o o 1 o TIME_CODE
1 1 1 1 0 0 1 1 (resenred) o j o o o o 0 0 o NULL
0 0 0 0 0 0 0 1 (reserved) O I O O O O 0 1 0 (reserved) 0' 0 0 0 0 0 1 1 (reserved) o o o 1 o o o o SEQUENCE_START
ol o o 1 o o o 1 GROUP_START
o I o o 1 o o 1 o PICTURE_START
o, o o l o o 1 1 SLICE_START
o ~ o o l o 1 o o SEOUENCE_END
o o o 1 o 1 o l CODING_STANDARD
o o o 1 o 1 l o PICTURE_END
o o o l I o 1 1 1 FLUSH
c o o M 1 o o o FIELD_INFO
Table A.3.1 Summary of Tokens 21451~8 -6 ¦ S l 4 3 2 1 0 hk-n N~m- Re~ererce o o o 1 1 o o 1 MAX_COblP_lD
O o o 1 1 o 1 o EXTENSION_DATA
0 0 0 1 1 0 ~ 1 USER_DATA
0 0 0 1 1 ~ 0 0 DHT_MARKER
0 0 0 1 1 1 0 1 DQT_MARKER
O 0 0 1 1 1 1 0 (r~rv d)DNL_MARKER
O 0 0 1 1 1 1 1 (re~-rv d)Ml_MARKER
¦ 1 1 o 1 o o o (r~s~rve o 1 o o 1 (~ rve 1 o 1 o (r~v~d) 0 1 0 1 1 (- WV~d) 1 1 1 0 1 1 0 0 81T_RATE
o 1 1 o 1 V8V_BUFFER_SeE
1 1 1 0 1 1 1 0 VBV_DELAY
0 1 1 1 1 PICTURE_TYPE
0 0 0 0 PICTURE_RATE
1 0 0 0 1 PEL_ASPECT
1 1 o o 1 o HOReONTAL_SlZE
o o 1 1 VERTlCAL_SeE
o 1 0 0 8ROKEN_~ OSFD

1 1 1 1 0 1 1 0 (r~ d)SPECTRAL_LlMlT
1 0 1 1 1 DEElNE_ldAX_SAMPLlNG
~r~s~
o o 1 (r serv r~s~rve 0 1 1 (r s~ved) 0 0 HOReONTAL_MBS
0 1 VERTICAL_M8S
1 0 (r-5etved) reser~ed) T~ble A. 3 .1 Summ~ry of Tok-n~ (contd) 2l45ls8 a. 3.~ D--cription of Tok-ns This section documents the Tokens which are implemented in the Spatial Decoder and the Temporal Decoder chips in accordance with the present invention; see Table A.3.2.

Note:
."r" signifies bits that are currently reserved and carry the value O
.unless indicated all integers are unsigned -. , E 7 6 ~ 5 4 3 2 1 0 C~ vllon 1 1 1 o 1 1 0 0 BIT_RATE teslinloonly 1 r r r r r r b b Carries the MPEG bit r~te parameler R Generaled Dy ~he Hul~man 1 b b D b b b b b decoder when d coding an MPEG bi~stream --_ O b b b b b b b b b ~ n 18 bit integer as defined by MpE5 1 l 1 1 1 o 1 o o BROKEN_CLOSED
O r r i r r r r c b Carri s two MPEG llags bits C - cbsed_gop b - broken_link o o o 1 o 1 o 1 CODING_STANDARD
s - an 8 bit integor indicating tne current coding sundard The valuos currently assign d are I

', 2 - MPEG
1~ 1 i 1, o o o o c c COMPONENT_NAME
n n n n n n n n C~ " " ,n` ~c -' ~J~iv bet~een a co, ~or, ,1 ID and tr c~ ""~o na ,I nam- See also c 2 bitcG, I"oncnl ID
n - 8 bit co, ,~nenl 'name' 1 j 1 j 1 1 o 1 o 1 CONSTRAINED
r r r r r r r c c - carries tlle CO~h )Cd_paran,~ nag decoded ITom an MpEG bitstream , Tabl~ A 3 2 To~-ns impl-m-nt-d in t~e 8patial Decoder and T-mporal Decod-r (8h--t 1 of 9) 21~1S8 - /

E 7 6 1 5 4 3 2 1 0 - D t~c 0 ! 1 c c DATA
Carries data through the decoder chlp-set O d d d d d d d d C a 2 bit intc9~ col~ D (see A.3 s l ) Thls field is not d~fined ~or Tokens that car~y coded data (-ather than pixel intu ~) 1 1 1 1 1 o 1 1 1 DEFINE_MAX_SAMPLING
1 r r r r r r h h Max. Honzontat and Vertical sampling numbers Thesc Cescnbe O r r r r r r v v the maximum number o~ blocl~s hG ~ . yhe~ ~ ~ in any CO ~VOll! It ol a ubl~i~. See A.3.52 h 2 bit horlzontai sampling nurnber.
v 2 bit vert~l sampling number.

o o o 1 c c DEFINE_SAMPLING
1 r r r r r r h h Horizonlal an~ Vert~l sttmpling numbers lor a Darticular co~our Orrrrrrvv co. ~ onchL S~- A.3.52 c - 2 bit co ?on ,t ID.
h - 2 bit honzonul sampling numDer v - 2 bit v-rtic~l s mpling number o o o o 1 1 1 o o DHT_MARKER
This Token in~orms the Vdeo Demux that the DATA Token that lollows contains the SpC,irl~ - 1 Ol a Hutlman table descnbeC
using the JPEG define Hut7man table segment syntax Thls Token is only vaiid when the coding stanaard is configl red as JPEG

This Token is generated by the stan code detec:or during JPEG
decoding when a DHT marker has been encoun~ered in the data stream Table A. 3 . 2 Tolcen~t implemented in the Spatial Decoder ~nd Tempor~l Decoder (S~eet 2 of 9) E 7 6 1 5 1 4 3 2 1, O C!y ",t,~", o o o j o ~ 0 DNL_MARKER
This Token inlorms the Video Demux that the DATA Token ~hat tollows contains the JPEG parameler NL which specifies the number of lines in a Irame ThiS Token iS generated by the start code detector during JP_G
decoding when a DNL marker has b--n encountered in the tata stream o o o o 1 1 1 o 1 DQT_MARKER
This Token inlorms the Vd o D mux that t~- DATA Token thal lollows containS the ~F ~ , ol a ~n ' ~' ~n table desctibed using the JPEG 'd-fin- quanbsabon tAbl- segrnent' syntax This Token is only valid wh-n th- coding standard is configured as JPEG The Vdeo Demux g-nerates a aUANT_TABLE Token containing the n-w quantisation taOle ;"f~"",~ ~

This Token is gen-rated by the start code detector dunng JPEG
decoding when a DOT marker has been encoumered in the da~a stream o o o o 1 1 1 1 1 DRI_MARKER
This Token inlorm5 the Vdeo Demux that the DATA Token tr,at lollows conUins the JPEG parameter Ri which specifies the number o~ minimum coding units b tween restart markers This Token is generated ~y the start code deteclor cunng JP_5 decoding wten a DRI marker has Deen encountered in the ca a stream Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 3 of 9) E 7' ~i 5 ~ 3 2 1 o - t~
o o o 1 1 o 1 o EXTENSION_DATA JPEG
o v v v v v v v v ThiS Token intorms th- Vldeo Demux thal the DATA Token that tdlows contains extension data See A 11 3 Conversion of stan codes to Tok ns and A 14 6 ReceMng User and E~nsion d ta During JPEG operation the 8 bit fidd ~ carries the JPEG marker valu- ThiS ~llows the cl s ot ext nsion data to be identified o o o o 1 1 o 1 o EX~ENSION_DATA MPEG
This Tok n intorms th- Vrdeo t3 mux that the DATA Token that tdlows contains anansion tata Sa- A 11 3 Conversion ot sUrt cod s to Tok rls and A 14 6 Rec-iving Us r and Extension d tt 1 o o o 1 1 o o o FIELD_INFO
o r . r ~ p t t t Cur4s ~ about t;he picturo totlowing to aid its display This tunction is nol signatl-d by any exiSting coding sttndard t il th- Pictura is an int-rlaced trame this bit indict~es U the upper thld is first (t-0) or second p it pictur s ue hlds this indicates if the next picture is upper (p~0) or low r in t~h- tram t a 3 bit numb r indicaffng posioon ot the field in the t~ field PAL
#quence o o o o 1 o 1 1 1 FLUSH
Us d to indicale the end ol th- current coded data and to pusn tne ~nd ot the dtta stream through the decoder o o o o 1 o o o 1 GROUP_START

Generated when the group ot pictures stan code is lound wnen decoding MPEG or tne trame marker is lound wnen decocing JPEG

Table A.3.2 Tokens imp~e, en~ in the Spatial Decoder and Temporal ~ecoder (Shee~

. ~
E 7 6 5 ' 3 2 1 0 Q i~, fi~, 1 1 1 1 1 1 1 o o HORIZONTAL_MBS
r r r h h h h h h a 13 bit number integ-r indicaong the hori20ntal widtn ol tne h h h h h h h hpicture in, . v 1 1 1 1 1 o o 1 o HORIZONTAL_SIZE
h h h h h h h h h 16 bit numb r int g-r indicabng the horizonUI vridth ol the h h h h h h h h picture in pixds This c n b- ny int g-r ~lu-1 1 1 o o 1 o c c JPEG_TABLE_SELECT
r r r r r r t tIn~orms th- inv rs quanbs r which 1" ~h 7 t bl to use on th- sp cifi-d colow, ~ ~or c 2 bi~ cv ~ t tD (sae ~ 3 5 1 t - 2 bit integar tabb numb-r 1 o o o 1 1 o o 1 MAX_COMP_ID
r r r r r r m m m - 2 bit integer indicating the maximum value ol cV, vone ,t ID
(s - A 3 5 1 ) that will b- used in the n-xt Victure 1 1 o 1 o 1 c c MPEG_DCH_TABLE
r r r r r r t t Configures which DC coetfici nt Huffman tabb should be used for colour cv ~,or Il cc c 2 bit co "~, ,1 ID ~s-a A 3 5 1 I - 2 bit int g-r t bl- number 0 1 1 0 0 1 1 d n MPEG_TABLE_SELECT
Inlorms the inverse quantiser wnettler to use the delault or user d-fined quanbsation table lor intra or non-intra i"tu ",at,on n 0 indicat s intra ;r,lv,, th ~, 1 non-intra d - 0 indicates d-lault tabb, 1 U#r d-fined T~ble A 3 2 Token~ impl-ment-d in th- 8p~ti~1 Decoder and T-mporal D-coder (8~--t 5 of 9) 21~S158 E 7 ¦ 6 5 4 3 2 1 0 C
1 1 0 1 d v v v v IUVD_BACKWARDS
V V V V V V V V
C~nies one ~ i~r v tical or honzontal) ot the ~ - ~ moSon vector d Ohdic bsx ~ ~1 1 theyco oon~n~
v 12 bit Iwo s ~ ,l number The LSB provides hæl p~el reSolutiOn 1 0 0 d v v v v 1~1VD_~ORWARDS
O v v v v v v v v Curi~ one ~ ~da er ver~cal or horizontal) ol the ton~rds mo~on v~r d O indic t s ~; t 1 the y c~ "om t v 12 bil twos ~ ,I number The LSB proviCce hall pixel r~olution 0 0 0. o o o o o NULL
noltirg 1 1 1 1 1 o o o 1 PEL_ASPECT
p 4 bit in~r ~ ddn d by MPEG
o o o 1 o 1 1 o PICTURE_END
ir~rad by ~ ~ cod- d-l ctor to indicate the end ol the cunent pic~r .
1 1 1 1 ~ o o o o PICTURE_RATE
p a 4 bit int 9 r s d ~ined by MPEG
1 o o o 1 o o 1 o PICTURE_START
r r r r n n n n Indicates thl- s~ ol a ne~v picture n a 4 bit pic~r ind x ~Ibcated to the picture by tl~e start code detector Table A 3 2 To~en~ impl-m-nt-d in th- 8patial Decod-r and Temporal Decod-r (8h--t 6 of 9) , - 2l9sl~8 E 7 6` S ~ 3 2 1 0 D ~ ~n 0 1 1 1 1 PICTURE_TYPE MPEG

O r t r r r r p p p a 2 bit int-ger indicating th- Diclure coding type of the pichre that ~ollows:
O Intra 1 - Predict d 2 I ~idi . i ly Predicted 3 - DC Intra 0 1 1 1 1 PICTURE_TYPE H 261 1 r r r r r r 0 1 Indiatt s vuious H26 1 opbons Ue on ~1 ) or On ~0) Th-# opDons O r r s d ~ q 1 are ah~tys off lor MPEG nd JPEG
s - Split Scr n Indic~tor d - Docurrl ntCarn ra f Fr-e2e Picture Reb~
Sowce picture torrnat q-O ~CIF

q ~ ' CIF
O 0 1 0 h y ~ b I fMEDICTION_MODE

A s t d fl-g bifs that indicate the Dredicbon rnode lor th-ot~l~ fJ at tOaow f - lorward predicDon b backward Dredicbon x res~t forward v ctor pr dictor y r s-t b-ckward v ctor predictor h n~bl~ H 261 IOOD fi''-' 0 0 0 1 S S S S S OUANT_SCALE

Inforrns the inver# quantiser of a new scale factor s 5 bil integer in range 1 31 The value O is r serveo Table A.3.2 Tokens imple..,entt,d in the Spatial Decoder and Temporal Decoder (Sheet 7 o~

21~51~8 _ E 7 6 5 4 3 2 1 1 0 r ,vt, 1 o o o o 1 . I I t QUANT_TABLE
Loads the specifia inverse quantiser table With 64 8 bit uns~gned integers Th~l values 0 q ~ q ~ q q q r~
t - 2 ~it integer specityin9 the inverSe cuantiser table to be loaCed o o o o 1 o 1 o o SEQUENCE_END
The MPEG sequence_end_code and the JPEG EOI marker cause this Token to b- generated o o o o 1 o o o o SEOUENCE_START
G nerated by the MPEG s quence_st rt StltQ code o o o 1 o o 1 1 SLICE_START
G ~ to the MPEG slice_staQ the H261 GOB and the JPEG resync interval Th-; v~ n ot 6 bit integer's' diners between coding standards MPEG - Slice Ve~rtical Posibon -1 H261 Group ol Btocks Number JPEG ~ycl cr' interval ;d_ "k- ~n (4 LSBs only) ~ ~ ~ o 1 o o t ~ TEMPORAL_REFE~ENCE
o t t t t t t t t t - carries the temporal rderence For MPEG this is a 10 bit integer For H26~ only the S LS8s ue used the MS9s will always be zero 1 1 1 1 0 0 1 0 d TIME_CODE
1 r r r h h h h h The MPEG time_code 1 r r m m m m m m d Drop Irame nag 1 r r S S S S S 5 0 ~ ~ p p p p p p h 5 bit integer specitying hours m 6 bit integer specitying minutes s - 6 bit inleger specifying sr conds p 6 bll inleger sDecifying pictures Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoc~er (Sheet B of .

E 7 ~' 6 ~ s l 4 1 31 2 1, O ~s ~ on ! I i 1 1 1 o 1, 1 USER_DATA JPEG
V V V V V V V, V
This Token in~orrns the Vd-o ~emux 'hat the DATA Token that lollows contains user dala See A 11 3, 'Converslcn ot s art coCes to Tokens, and A 1~ 6, Rece!vlng User and Extension data, During JPEG op-ration the 6 bit field ~ carr es the JPeG marker value This allows the class o~ user data to be identitied o o o o 1 1 o t 1 USER_DATA MPEG
This Tok-n intorrns th- Vld o Demux that tne DATA To~en that ~ollows cont~ins us r d-ta S-e A. 11 3, 'Convcrsion ot start coces to Tokens, and A 14 6, ReceMng User and Extension data, o 1 1 o 1 VBV_BUFFER_SIZE
1 ~lr, r,, s s s - a 10 bit inl ger as d fin d by MPEG
O Sl S S S S S S S
1 1, 1 1 o 1 1 1 o V~V_DELAY
b I b D b b b b b b a 16 bit integ-r as d-ffn d by MPEG
G bj b b b b b b b 1, 1 1 1 1 1 o 1 VERTICAL_MBS
r ~ r r V V V V V
v a 13 blt integer indicating the vertical size of the picture in v I v v v v v v v bl~
o o 1 1 VERTICAL_SIZE
V I V V I V V V V V
v - a 16 bil integer indicatmg the venic~ size ol the Duture ;n ?Ire~S

O v I v v I v v v v v This can be any integer vatue Table A 3 2 To~n~ impl-m-nt-d in the 8patial D~cod-r and T-mporal D~cod-r (S~e~t 9 of 9) a. 3.5 ~umb-r- ignall-d in To~-n-A.3.5.1 Coupon-nt Id-ntification nunb-r In accordance with the present invention, the Component ID number is a 2 bit integer specifying a color component.
This 2 bit field is typically located as part of the Header in the DATA Token. With MPEG and H.261 the relationship is set forth in Table A.3.3.

Com~onent ID - MPEG or H.261 colour cc.. ,~on_ht O Luminance (Y) ~lue dii~erence signal (Cb I U) 2 Red ditlerence signal (Cr / V) 3 Never used Tabl- A.3.3 Co~pon-nt ID for MPEa and H.2C1 With JPEG the situation is more complex as JPEG does not limit the color components that can be used. The decoder chips permit up to 4 different color components in each scan. The IDs are allocated sequentially as the specification of color components arrive at the decoder.
A.3.5.2 ~ori-ont~l n~ V-rtic~ mpling numb-rs For each of the 4 color components, there is a specification for the number of blocks arranged horizontally and vertically in a macroblock. This specification comprises a two bit integer which is one less than the number of blocks.
For example, in MPEG (or H.261) with 4:2:0 chroma sampling (Figure 36) and component IDs allocated as per Table A.3.4.

Honzonlal Vertical Component ID sampbngWidth in blocks sampling Height in blocks number numOer 3 Not usedNot used Not usedNot used Tabl- A.3.4 8~mpling ~umb-rs for ~:2:0/MPEG

21~5158 With JPEG and 4:2:2 chroma sampling (allocation of component to component ID will vary between applications.
See A.3.5.1. Note: JPEG requires a 2:1:1 structure for its macroblocks when processing 4:2:2 data. See Table A.3.5.

Honzonul Verocal Componen~ 10sampling Wid'~h in blocks sampling Hei6hi 'n oloc.ks num~er num~et T~bl- A.3.5 ~a~pling ~u~b-r- for ~:2:2 Jp~a A.3.6 5pecial To~en formats In accordance with the present invention, tokens such as the DATA Token and the QUANT TABLE Token are used in their "extended form" within the decoder chip-set. In the extended form the Token includes some data. In the case of DATA Tokens, they can contain coded data or pixel data. In the case of QUANT TABLE tokens, they contain quantizer table information.
Furt~ermore, t'non-extended form" of these Tokens is defined in the present invention as "empty". This Token format provides a place in the Token stream that can be subsequently filled by an extended version of the same Token. This format is mainly applicable to encoders and, therefore, it is not documented further here.

Tok-n Nam~ blPEGJPEG H261 BIT_RATE
E~ROKEN Ci OSFn CODING_STANDARD
COMPONENT_NA~E f CONSTRAINED
DATA
DEFINE_MAX_SAMPLING
DEFINE_SAMPLING
DHT_MARKER
DNL_MARKER
DaT_MARKER
DRI_MARKER

Table A.3.6 tok-ns for different st~n~rd~

\

Tok~ IPEGJ~CG H26t EXTENSION_DATA
FIELD_INFO
FLUSH
GROUP_START ~ f HOReONTAL_MBS
HORIZONTAL_SIZE
JPEG_TABLE_SELECT
MAX_COMP_ID
MPEG_DCH_TABLE
MPEG_TABLE_SELECT
MVD_BACKWARDS
MVD_FORWARDS
NULL
PEL_ASPECT
PICTURE_END
PICTUR_RATE
PICTURE_START
PICTURE_TYPE
PREDICTION_MODE
OUANT_SCAL
QUANT_TABLE
SEOUENCE_END
SEQUENCE START
SLICE_START
TEMPORAL_REEERENCE
TIME_COOE
USER_DATA
VBV_BUFFER_SIZE
VBV_DELAY
VERTICAL_MES
VERTICAL_SIZE
Table A.~.6 Tokens for dirr-~e~t ~,t ~dards (contd) 2l 4sl .~8 -A.3.7 Us~ of Tokens for different standards Each standard uses a different sub-set of the defined Tokens in accordance with the present invention; ss Table A.3.6.

SECTION A.4 The two wire interface a. 4.1 Two-wir- int-rf~c-- and th- To~-n Port A simple two-wire valid/accept protocol is used at all levels in the chip-set to control the flow of information.
Data is only transferred between blocks when both the sender and receiver are observed to be ready when the clock rises.
l)Data transfer 2)Receiver not ready 3)Sender not ready If the sender is not ready (as in 3 Sender not ready above) the input of the receiver must wait. If the receiver is not ready (as in 2 Receiver not ready above) the sender will continue to present the same data on its output until it is accepted by the receiver.
When Token information is transferred between blocks the two-wire interface between the blocks is referred to as a Token Port.
a. ~ . 2 ~h-r- us-d The decoder chip-set, in accordance with the present invention, uses two-wire interfaces to connect the three chips. In addition, the coded data input to the Spatial Decoder is also a two-wire interface.
A.~.3 Bu- ign~l-The width of the data word transferred by the two-wire interface varies depending upon the needs of the interface concerned (See Figure 35, "Tokens on interfaces wider than 8 bitsn. For example, 12 bit coefficients are input to the Inverse Discrete Cosine Transform (IDCT), but only 9 bits are output.

21~51~8 ' Intorl~ aU Widlh (bitS) Coc~d ~U inpu~ tO SO-W ~CO~-t OUtDUt Don O~ Sp ~ cod-r g Input Don ot T n~orU Cbcod r 9 Ou~ut pon o~ Tom~or~l D~cx-t 3 Input pon o~ Imsg- Fom1~ttor 8 T~bl- A.~.l T~o ~ir- int-rf~c- d~t~ ~idth In addition to the data signals there are three other signals transmitted via the two-wire interface:
.valid .accept .extension A.4.3.1 Th- ~t-~sion ign~l The extension signal corresponds to the Token extension bit previously described.
A.~.~ D-sign consid-rations The two wire interface is intended for short range, point to point communication between chips.
The decoder chips should be placed adjacent to each other, so as to minimize the length of the PCB tracks between chips. Where possible, track lengths should be kept below 25 mm. The PCB track capacitance should be kept to a minimum.

21~5158 The clock distribution should be designed to minimize the clock slew between chips. If there is any clock slew, it should be arranged so that "réceiving chips" see the clock before "sending chipcn.l All chips communicating via two wire interfaces should operate from the same digital power supply.
A.~.5 Int-rf~c- t~ming 30 MH~ ~o~e~
Num. Chalacte.~ Unit Min. Max.
Inpu~ signal se~-uP ~me 5 ns Inpu~ signal hold ~ime O ns 3 Ou~putsiqnæ driveOme 23 ns Outpu~ 5ignal hold 3me 2 ns Tabl- A.~.2 Two wir- int-rfac- timing a. Figures in Table A.4.2 may vary in accordance with de~ign variations b. Maximum signal loading i~ approximately 20 pF

~ Note: Figure 38 shows the two-wire interface between the system de-mux chip and the coded data port of the Spatial Decoder operating from the main decoder clock. This is optional as this two wire interface can work from the coded data clock which can be asynchronous to the decoder clock.
See Section A.10.5, "Coded data clockn. Similarly the display interface of the Image Formatter can operate from a clock that is asynchronou~ to the main decoder clock.

21~5158 -A.4.6 ~S~gnal l-v-ls The two-wire interface uses CMOS inputs and output.
V,Hm,n is approx. 70% of Vl~l) and V,lm,~ is approx. 30% of VDD.
The values shown in Table A.4.3 are those for VIH and Vt~ at their respective worst case V~D. VD~=S. +- 25V.

Sym~ol P~r~mot~r Min Mas U~lLs V~ Inoutlog~c 1 volUgo 368V~O.OS v V~L Inout log~c O volUg~ GNO 0 5 1 ~3 ¦ v VO~ Outoutlog~c l Volus~ VO
VOo-O,- I v~
VOL OUtpYt log~c O volUc~ 0 1 v ' 04 ~C
Inoutlo~gocurr~nl :10 1 ~ ;

Tabl- A.4.3 DC eloctrical charact-ristics a. 1OH< lmA
b. lOH<4mA
c . 1"; ' lmA
d. l"l<4mA

`

A.4.7 ~C~ntrol clock In general, the clock controlling the transfers across the two wire interface is the chip's decoder clock. The exception is the coded data port input to the Spatial Decoder. This is controlled by coded_clock. The clock signals are further described herein.

, SECT~-)N A.S DRAM Interface A.S.l ~h- DRAM int-rf~c~
A single high performance, configurable, DRAM interface is used on each of the video decoder chips. In general, S the DRAM interface on each chip is substantially the same;
however, the interfaces differ from one another in how they handle channel priorities. The interface is designed to directly drive the DRAM used by each of the decoder chips.
Typically, no external logic, buffers or components will be necessary to connect the DRAM interface to the DRAMs in most systems.
A.5.2 Int-rfac~ ~ign~l~

InDul /
Sign~l N~ o OutDut ORAM_d t pl 0] VO The 32 bit wtde ORAM Cata DuS Optlonally this DuS
n b configtJred lo ba 16 W O Dits wide~ See s ctiwl A.S~S
ORAM_ ddr~10 01 0 Th- 22 bit wide CIRAM intertace accress 15 tlme multiDbXd ovet thiS 11 bit wide bus ~ O Th- ORAM Row Address Strobe signal W~ o] O The DRAM Column ACCre~5 StroDe S~gnal One si9nAl i5 provltt~d D r byte ot the intertace s Cata bus All the ~ signal5 are driven simultaneou51y WE O The DRAM Wnte EnaDle Signal The DRAM OutDut En~DI~ sl9~1 DRAM_enaole I l nis input signu wh-n low mak s all tne output 5ignals on the intt rtace 90 high ;~ec~nce Note~ on~tip ~ata processing Is nol s;oop rJ wncn ~hc DRAM intert~ce is high ~ cr So errCrs will occur il tn~ cnip ~n~mptsto ~cc~ss DF~AM ~,h DR~_enaDle is low Table A.5.1 DRAM in~e. ~Lce signals -214~158 In a~cOrdance with the present invention, the interface is configurable in two ways:
.The detail timing of the interface can be configured to accommodate a variety of different DRAM types .The "width" of the DRAM interface can be configured to provide a cost/performance trade-off in different applications.
A.5.3 Configuring t~- DRAM int-rf~c-Generally, there are three groups of registers associated with the DRAM interface: interface timing configuration registers, interface bus configuration registers and refresh configuration registers. The refresh configuration registers (registers in Table A.5.4) should be configured last.
A.5.3.1 Conditions ~ft-r ras-t After reset, the DRAM interface, in accordance with the present invention, starts operation with a set of default timing parameters (that correspond to the slowest mode of operation). Initially, the DRAM interface will continually execute refresh cycles (excluding all other transfers).
This will continue until a value is written into refresh_interval. The D~AM interface will then be able to perform other types of transfer between refresh cycles.
A.5.3.2 BUs configuration Bus configuration (registers in Table A.5.3) should only be done when no data transfers are being attempted by the interface. The interface is placed in this condition immediately after reset, and before a value is written into refresh interval. The interface can be re-configured later, if required, only when no transfers are being attempted. See the Temporal Decoder chip_access register (A.18.3.1) and the Spatial Decoder buffer_manager_access register (.~.13.1.1).

214SI~8 -A.5.3.~ ~nt-rfac- timing configuration In accordance with the present invention, modifications to the interface timing configuration information are controlled by the interface timing access register.
Writing 1 to this register allows the interface timing registers (in Table A.5.2) to be modified. While interface timing access = 1, the DRAM interface continues operation with its previous configuration. After writing 1, the user should wait until 1 can be read back from the interface timing access before writing to any of the interface timing registers.
When configuration is compete, 0 should be written to the interface timing access. The new configuration will then be transferred to the DRAM interface.
A.5.3.~ R-fr-sh configuration The refresh interval of the DRAM interface of the present invention can only be configured once following reset. Until refresh interval is configured, the interface continually executes refresh cycles. This prevents any other data transfers. Data transfers can start after a value is written to refresh interval.
As is well known in the art, DRAMs typically require a ~pause" of between lO0 ~s and 500 ~s after power is first applied, followed by a number of refresh cycles before normal operation is possible. Accordingly, these DRAM
start-up requirements should be satisfied before writing a value to refresh interval.
A.5.3.5 R-ad acc-ss to configuration r-gist-rs All the DRAM interface registers of the present invention can be read at any time.
A.5.~ Interfac- timing (tic~s) The~D~M interface timing is derived from a Clock which is running at four times the input Clock rate of the device (decoder_clock). This clock is generated by an on-chip PLL.
For brevity, periods of this high speed clock are referred to as ti cks .

A 5 5 ~nt-rfac- r-gist-r~

Re51sttr nam- O O
iJ~ ~o intert ce_~iming_access 1 0 Thls lunctlon enaDle reSls W ailews access 10 Cit ;he DRAM inter?ace l~mlng ccnfigLra~on registers Tt e configuruion reglsters snoula rrt n~ be modified whil- this register !~olC5 tlle value 0 WriUng a on- to tnu register recuess access to moCity ttle cor 1~ r-gls ers Ater a O
h s t~een wrinan to tnis register tne GRAU
inter?ace witt StUt to uS- th- new vtuues in t~e timing configu-tion registers p-ge_sUrt_lengtn 5 0 SP bfi s tno l-ngtn O' the ccass s~n in ~CkS
bit The minimum value thU ct n be useC is ' (meaning 4 tiCk~) O Sd CtS tn< ma~lmum nw length ot 32 ticks transht_cycte_l ngt~h 4 0 Sp cifi tne lengt~ ot the ~S~ paSe reaC or Cit write cycb in ticks The minlmum valLe ~7at ct~
C~ us~d is 4 (m~nlng 4 bcks) O S~l C5 he rw mi~imum langth ol ~ 6 bCkS
retrestl_cycle_l-ngttl 4 0 Sp cih s tlle t ngt~ oi tt - relr sn :ycle In tlcks t~it The minimum value Iha~ can be use~ is 1 (meaning 4 tlcks) O se~ecs l~e m~ mum rv~ bngth ot ~ 6 ticks RAS_t-lling 4 0 Sp clfias trle numoer ol 3Ck5 att-rtl e s an et Cit Ihe access sttrn that F~ hus- The mln n um value tnat can Ce used is 4 (meaninS ~t~cks) rv~ 5elecs ~le mit~imum len5 ~ o' 5 :CkS
CAS_~alling ~ 8 SDeciFie~s tne numCer ol llc~lis afterthe sla-t ~f a Cl~ I ~earJ :ycle write cy:le or ac:e5s starl~ha~
fal!s. ~,e mlnlmum v~luelkat can k ~sec;s ~ rpeanlng i DC~) O sJectstl~ rna~r~u~ erl~~
Af 8 t~C ~
Ta~le A.-o.2 Interface timlng confi9uration reg!ste!s --Aeglst-r nune i ~ C ., ,ion ORAM_c~u_wir~th 2 0 Sp clfisc ttle numDer ot bns us~ on t~e DRA~ 1 bit intert~ce dU~b~ DR~bl_O~U(;1 01 See rw row_~CrJr-ss_bit~ 2 0 Sp cdi~ th- nurr~r ot bi~ u~ ~or trle row bit ~dCress poroon ol the DRAM inurl~ce ~CCress bu~ S ~AS10 nw DRAM_en~t~le 1 1 Wnong the v~lw O in to this register lorces ~e bit ORAM inurt~ into ~ high ~ ~ st te O will ~ ret10 tro n l~ t~ter it ei~er ~e rw ORAbl_ n~t ~UI Is Iow or 0 h~ ~n wrnten to the register CAS_ t ng~ 3 6 Th~ three bil r Spsten conrlgure t~e output RA5_~trengttl bit driv- s~ngU ot ORAM int rt~c- sign~l5 ~OOr_~tr~ngth This ~llows the int rbce to be contlgured lor OR~bl _O~U_~tnngth OEWE_~trength rw vcriousdm r ntlo C

T~bl- a s 3 Int-rf~c- bu~ configur~tion r-gi-t-r~

A.5.6~t-rfac- op-ration The DRAM interface uses fast page mode. Three different types of access are supported:
.Read .Write .Refresh Each read or write access transfers a burst of 1 to 64 bytes to a single DRAM page address. Read and write transfers are not mixed within a single access and each successive access is treated as a random access to a new DRAM page.

Reg~rnsm- i9 0 C ~r;o~
i~ ~o -~resn into,vsl 8 0 ThisvUue spen~tne Int r~ ween ~il rotr~h cycloS in penods ot 16 docoCer_clocl~
cyci-s Value~ in t~ rango ~ 255 can ~e rv~ C~ ~ Th~ v~lu~ O is ~ulorn~Dc~lly lo~cec s~l r resol and ~orc-s tho DRAM intsrtace o cononuousty execute retrt sh cyc! ~ ntll a va rdr~n inlervu is configur d It is ~1 ~ Ihal r~tr~sh_lnt~rv l shculd ~e configur d on~ ore- attet ~ch ~
no_r-tr-sn 1 0 Wriong the v~u- 1 lo this registet ~reven!S
~it ex cuoon ot ny r tresh cycbs Tabl- A.5.4 R-fr-sh configuration r-gist-rs A.5.7~Acc-s~ ~tructur-Each access is composed of two parts:
.Access start .Data transfer S In the present invention, each access begins with an access start and is followed by one or more data transfer cycles. In addition, there is a read, write and refresh variant of both the access start and the data transfer cycle.
Upon completion of the last data transfer for a particular access, the interface enters its default state (see A.5.7.3) and remains in this state until a new access is ready to begin. If a new access is ready to begin when the last access has finished, then the new access will begin immediately.
A.5.7.1 Acc-ss st~rt The access start provides the page address for the read or write transfers and establishes some initial signal conditions. In accordance with the present invention, there are three different access starts:
.Start of read .Start of write .Start of refresh Num C~ i. MinM~ Unit Notes ~i~ pr~ch~rg~ D~nod s t by r~gist t 4 16 ~C~t RAS_hlling 6 ~ccess st~n ~ur-tion s t by regiSter 4 32 i~g-_-t n-l~n9th t ~pr cn rgeleng~s~tOyreglstsr 1 16 CAS_hlllng, 8 F4st ~4~ r~ or wnt cycl- I ngth sff Dy ~ t 6 tr~ regiSter tnnster_cycle_l-ngth 9 q~ir~ c~ I~ ~t Oy th~ r-gi5t~r ~ 1 6 r~r~ll_cyel~

Table A.5.5 DRA~ ~nt-rf~c- timing par~m-t-rs a. This value must be less than RAS falling to ensure ~ before RAS refresh occurs.

21g51S8 In each case, the timing of RAS and the row address is controlled by the registers RAS falling and page start length. The state of OE and DRAM data[31:0] is held from the end of the previous data transfer until **RAS
falls. The three different access start types only vary in how they drive OE and DRAM data[31:0~ when RAS falls. See Figure 43.
a . 5.7.2 D~t~ tr~nsf-r In the present invention, there are different types of data transfer cycles:
.Fast page read cycle .Fast page late write cycle .Refresh cycle A start of refresh can only be followed by a single refresh cycle. A start of read (or write) can be followed by one or more fast page read (or write) cycles. At the start of the read cycle CAS is driven high and the new column address is driven.
Furthermore, an early write cycle is used. WE is driven low at the start of the first write transfer and remains low until the end of the last write transfer. The output data is driven with the address.
As a CAS before RAS refresh cycle is initiated by the start of refresh cycle, there is no interface signal activity during the refresh cycle. The purpose of the refresh cycle is to meet the minimum RAS low period required by the DRAM.
a. S.7.3 Int-rfac- d-fault tat-The interface signals in the present invention enter a default state at the end of an access:
RAS, CAS and WE high *data and OE remain in their previous state .addr remains stable A.5.8 D~t~ buJ width 21~5158 _ The ~ bit register, DRAM_data_width, allows the widthof the DRAM interface~s data path to be configured. This allows the DRAM cost to be minimized when working with small picture formats.

DRAM_~t~_~idt~
0' ~i bll wido a~t~ bus on OR~M_d-U~31 24]~
16DitwidoC~t~DusonDR~M_d~t~(31 16 2 32 Dit wido ~at~ bu~ on ORAM_riJt~(31 0]

Tabl- A.S.6 Configuring DRAM d~ta widtb a. Default after reset.
b. Unused signals are held high impedance.

A.5.9 row addr-- widtb The number of bits that are taken from the middle section of the 24 bit internal address in order to provide the row address is configured by the register, row address bits.

row_~ridr ~_t~it~ Widt~ oi row ri~r ss 10 bitS on DR~ drJrt9 0]
2 11 bits on DR~ ddr~10 01 ~abl- A.5.7 Configuring row ad~r-ss bit A.5.lO ~ res- bit-On-chip, a 24 bit address is generated. How this address is used to form the row and column addresses depends on the width of the data bus and the number of bits s selected for the row address. Some configurations do not permit all the internal address bits to be used and, therefore, produce "hidden bits)".
Similarly, the row address is extracted from the middle portion of the address. Accordingly, this maximizes the rate at which the DRAM is naturally refreshed.

rowrow dCr~s d~ b~-column addross u~nslaoon ad~r~tr nsl-~on ~Idtt~inloroal ~ ~n~rna widthtntn~ onorn l 9[14:6] 0 [8:0] 8 [19:t5] 0 ~10:C] [5:0l :~ ~5:0J
16 ~20:151 ~ 110:51 [5~ :0l 32 ~21:15]0~10:41 [52]13:0 10~15:6]c~9:01 8 ~19:16]0~10:6] (5:0]~5:01 16 ~20:161 O ~10:5] ~S:l] !40]
32 ~21 :161 O 110:~,] ~5:21 ~ ~3-:0]
11~16:610~10:01 8 [19:171O~10:6] [S:OI~[S:0]
t6 ~20:17]0~10:5] ~S:lJ~ :0]
32 ~21 :17] ~ ~10:41 ~521 :~ ~3:0~

Table A.5.8 Mapping b-tween internal and ext-rnal addresses 214SlS8 .

A.5.~O 1 Low order column address bits The least significant 4 to 6 bits of the column address are used to provide addresses for fast page mode transfers of up to 64 bytes. The number of address bits required to control these transfers will depend on the width of the data bus (see A.5.8).
A.5.~0.2 D-coding row nddr~s to acc-~s mor- DRAM banks Where only a single bank of DRAM is used, the width of the row address used will depend on the type of DRAM used.
Applications that require more memory than can be typically provided by a single DRAM bank, can configure a wider row address and then decode some row address bits to select a single DRAM bank.
NOTE: The row address is extracted from the middle of the internal address. If some bits of the row address are decoded to select banks of DRAM, then all possible values of these "bank select bits" must select a bank of DRAM.
Other~ise, holes will be left in the address space.
A.5.1~ DRAM ~nt-rf~c- n~
In the present invention, there are two ways to make all the output signals on the DRAM interface become high impedance, i.e., by setting the DRAM_enable register and the DRAM-enable signal. Both the register and the signal must be at a logic 1 in order for the drivers on the DRAM
interface to operate. If either is low then the interface is taken to high impedance.
Note: on-chip data processing is not terminated when the DRAM interface is at high impedance. Therefore, errors will occur if the chip attempts to access DRAM while the interface is at high impedance.
In accordance with the present invention, the ability to take the DRA~ in.erface to high impedance is provided to allow otner devices to test or use the DRAM controlled by the Spatial Decoder (or the Temporal Decoder) when the .~

Spatial ~ecoder (or the Temporal Decoder) is not in use.
It is not intended to allow other devices to share the memory during normal operation.
A.5.12 R-fr-sh Unless disabled by writing to the register, no_refresh, the DRAM interface will automatically refresh the D~AM
using a~C~ before R~ refresh cycle at an interval determined by the register, refresh interval.
The value in refresh interval specifies the interval between refresh cycles in periods of 16 decoder clock cycles. Values in the range 1.255 can be configured. The value 0 is automatically loaded after reset and forces the DRAM interface to continuously execute refresh cycles (once enabled) until a valid refresh interval is configured. It is recommended that refresh interval should be configured only once after each reset.
While rese~ is asserted, the DRAM interface is unable to refresh the DRAM. However, the reset time required by the decoder chips is sufficiently short, so that it should be possible to reset them and then to re-configure the DRAM
interface before the DRAM contents decay.
A.5.13 Signal tr-ngth The drive strength of the outputs of the DRAM interface can be configured by the user using the 3 bit registers, CAS strength, RAS strength, addr strength, DRAM data_strength, and OEWE strength. The MSB of this 3 bit value selects either a fast or slow edge rate. The two less significant bits configure the output for different loa~ capacitances.
,0 The default strength after reset is 6 and this configures the outputs to take approximately 10ns to drive a slgnal between GND and VDD if loaded with 24pF.

21~SlS8 ~` .

s~cnS~v~lue Drn~cl,~ ,SII 5 o ADpro~. 4 n~/V into 6 p~ b~
Appro~. 4 n~/v inlo 12 pl IO-C
2 ~ppro~. ~ ns~V into 24 p~ lo-~
3 Approx. 4 nsN inlo ~ p~ lo-d 4 ~pproL 2 r~sN inlo 6 p~ b-~
Appro~ 2 ns/V inlo 12 pt IO-C
6- ~pcto~ 2 nslV inlo 24 p~ h-C
7 ApproY 2 n~/V hlo 4~ pt b-C

Tabl- A.5.9 Output Jtr-ngt~ configurationJ
a. Default after reset When an output is configured appropriately for the load it is driving, it will meet the AC electrical characteristics specified in Tables A.5.13 to A.5.16. When appropriately configured, each output is approximately matched to its load and, therefore, minimal overshoot will occur after a signal transition.
A.5.14 El-ctric-l sp-cific~tionJ
All information provided in this section is merely illustrative of one embodiment of the present invention and is included by example and not necessarily by way of limitation.

21~515~

Symool Par~ er Min M~Jn Unlts Voo Su~ooly vo~l~ge rd~trv- to GND 0 5 6 5 V
v~ nDln volt~ge on ~ny pln GND - O S V00 ~ 0 5 V
T~ Ooeraang lemp r~tur- ~o ~85 Ts Storaçe tem~r~tw- 85 ~150 C
Tabl- A.5.10 ~xi~um R~ti~gs9 Table A.5.10 sets forth maximum ratings for the illustrative embodiment only. For this particular embodiment stresses below those listed in this table should be used to ensure reliability of operation.

Sym~ol Pu~m ter Min Ma1r Units V0O SupDly volt~ge relaUv~ to GN0 4 75 5 25 v GND Ground 0 0 v V~ Input logic 1 voltage 2 0 V0O o 5 v VIL Inpul logir 0 voltago GN0 O S 0 8 V
T~ Ooff43ng tnmo r~turn 0 70 C-Tabl- A.S.11 DC op-rating conditions a. With TBA linear ft/min transverse airflow Symool P~r~m-tcr Min. ¦ M~. Units VOL OUtDUt IOOIC 'O' volUge 4 V
vo~ Output logic '1' volu~ 2.9 V
o outDutcurr nt ~100 LA~
102 Out~wt oll SUte l~oe cun-n~ ~ 20 l~z Inputl~oecurr-nt ~ tO ~
Ix RMS po~ suvDly curr-n~ 500 mA
CIN Input ~ 1~ ~- pF
CO~ OU~ /10 C~ S pF

Table a.s.l2 DC ~l-ctric~l ch~r~ct-ri~tics a. AC parameters are specified using vO~ = o.8v as the measurement level.
b. This is the steady state drive capability of the interface.
Transient currents may be much greater.

A.S.l~:1 A~ characteristics Num Parameler Min M~Unli No~e Cycle ~me -2 ~2 ns I l Cyci- tlme 2 ~2 ns 12 Hig~ puls- 5 ~2 ns 13 Low DUI5~ 2 ns Il Cyele Dm- ,5 ~2 ns Table A.5.~3 Diff-r-nc-~ from nomin~l valu-s for ~ strob-a. As will be appreciated by one of ordinary skill in the art, the driver strength of the signal must be 5configured appropriately for its load.

Num Par~m tu Min M~Unit Note Stro~ to stroo ~el~y 3 ~3 ns 16 Low hold lim- ~ 1 3~3 ns 17 Stro~tostro~pr ch-tg-g tCRP 9 ~3 ns tRCS, tRCH, tRRH, tRPC
pr~rg- putse D-tw -n ~ny two -S 1 2 ns ign-ls on wld- DRAMs e g tCP, or ~rw~n ~ nsing ~nd ~ lalling e g tRPC
18 Pr c~tg-t~ lor~ - 12 ~3 ~s Table A.5.14 Diff-r-nc-s from nominal value b-tw--n two strobes a. The driver strength of the two signals must be configured appropriately for their loads.

Num. P~rameler Mln.Ma~.Unlt Nole~
19Set uD om 12 ~3 r5 2(~Old Om~ 12 ~3 n5 2 I Aact~es5 access 5me ~12 ~3 n5 22 Nen vaiid atter stroD~ 12 ~3 n5 Tabl- A 5 15 Differ-nc-l fro~ nominal b-tw--n a bUS and a strob-a. The driver strength of the bus and the strobe must be configured appropriately for their loads.

Num. Parameter Min.Ma~urit Nole 23 Read cata Set~uD sme C-fore CAS slgnal O 5 stafts to nse 24 Reaa d~ta hold bm~ aner ~ slgnal o n5 sta~s to go ~i9~

T~bl- A S 16 Differenc-s from nominal b-tw-en a bus ~nd a trob-~hen reading from DRAM, the DRAM interface samples DRAM_data[31:0~ as the 2~ signals rise.

D~ram-~r DUUn t r D~ r nJm-numt~ r n~num~-r n m- num~, tPC 10 tRSH 16 tRHCP 18 ICPRH
tRC 1 l tCSH USR 1 g tRP 12 tRWL USC
tCP ICwL tDS
tCPN tRAC IR~H 20 tRAS 18 toAc/toE tCAH
tCAS ICHR tDH
tCAC tCRP 17 IAR
twP tRCS tAA 21 IRASP IRCH tRAL
IRASC tRRH IRAD 22 tACPttCPA 14 tRPC
tRCD 16 tcP
tCSR tRPC

Tablc A S 1~ Cro~s-r-f r-nc- b-tw--n "~tandard" DRAM
par-~t-t-r nam-~ and timing param-t-r nu~b-r SECT~ON A.6 Microprocessor interface (MPI) A standard byte wide microprocessor interface (MPI) is used on all chips in the video decoder chip-set. However, one of ordinary skill in the art will appreciate that microprocessor interfaces of other widths may also be used.
The MPI operates synchronously to various decoder chip clocks.
A.6.1 MPI signals InDut /
6ignal Name C
Outvut enat~le~l 01 fnpul Two actlv- 10vr chip enU~le5 60m mt s~ ~e low to ~n Dl~ ~ccesS~5 vi~ the MPI
rw Input High mdlctues that a device wishes to t!aC values trom th~ video Chip.
rhis sign~l should D~ St-DI- while UlC CliP i5 n~DI-d Scorln l Input ACCr ss sp oh-s one ol 2~ locatlons in ~re cniS s m mory m p rnis signal should D- st Dle while t~e c np is enaDI-d Cau~7 01 Ourput ~ Dit wloe oata 1/0 pon These pins ar~ gh m J~ ~e it it~ur naDI- 5ign~l is hi5 ~ii Out$put An acDve ~ow open con c~or intertuctrecue sign~
Tabl- A.6.1 MPI int-rfac- sign~ls 21151~8 A.6.2 ~r electrical specifications Symool Puam-ter Min M~ Unlts v~o SuDDiyvdtag~ r-4t~v~ to GND 0 5 6 5 v V,~ Input vdtage on any Dm GND 0 5 V00 0 S v Overanngt~mp ranure -40 ~85 C
s Storag~t-mo rature S.-i ~150 C

Tabls A.6.2 Ab~olut- MaYi~u~ R-tings-Symt~ol Puur~tor Min M~x Umts V~c SuDply volt~g~ r~4tiv~ to G~O ~ 75 525 v G`Jû Ground 0 0 v v r Inout logie 1 vdtaga 2 0 V00 ~ 0 5 V ' Vl~ Inpul ~ogic 0 vdtaga GNO 0 5 0 5 V 1~1 A Op ratingtamp ranure 0 70 C~

T~bl- A.6.3 DC op-r~ting con~itions a. AC input parameters are measured at a 1.4V
measurement level.
b. With TBA linear ft/min transverse airflow.

~145158 .

Symecl Pu~mstor Min, Ms~ Units VOL OutDut IO9lC 'F volt~go 0 4 V
VOLX Op-ncoll ctoroutpttlogic'0' 04 volt~g-vO~ OulDut hg~c 1 volt~g~ 2 4 V
lo Outputcurr nt ~100 oOe OD n coll-ctor outDut cun nt ~ 0 B 0 m~
2 Output oll st~ts lo~k~gs currsnt ~ 20 ~
I~" Input ~gs cunont ~ 10 ~A
1~0 RMSpow rsuDpywn-nt 500 m~
C !, InDut e~ F
CO, ~ Output / IO , c~ S D~

Tabl- A.6.~ DC El-ctric-l ch~ract-ristics a. lo<lo~m~
b. This is the steady state drive capability of the interface. Transient currents may be much greater.
c. When asserted the open collector lrq output pulls down with an impedance of loon or less.

i 214S158 A. 6 . 2 . r 'AC cllaracteristic~

Num. Charac cnstic Mln Ma~ Unlt EnaDle low senoc 100 I ns 26 FnaDIe hi5h ~iod 50 ¦ns 27 A~t~ress or r~ s~t up to ChlC enaDIs 0 ns 28 Address or r~ hold ~rom C~iD ~isaDI~ 0 ns 29 Outcut turn on tlmo 20 ns Rea~ data accsss tims 70 ns t~
31 R~a~ data hOld 3m- 5 ns 32 Read Cata turn-o~ oms 20 Table A. 6. 5 Microproc-5tsor int-rfac- r-ad timing a. The choice, in this example, of ena~le[O]
to start the cycle and enable[l~ to end it is arbitrary. These signal are of egual status.
b. The access time is specified for a maximum load of 50 pF on each of the data[7.0].
Larger loads may increase the access time.

Num Ch~ s~ Min Ma~ ¦ Uni~ Not s 33 Wnt- ~ata set uc tlme 15 I ns 31 Wnt~uahol~ ~m ~ ns ~able A. 6. 6 ~sicroproc-s~or int-rfac- writ- timiAg a. The choice, in this example, of ena~le[O]
to start the cycle and enaDle!l] to end it is arbitrary. These signal are of equal status.

2I~S158 A.6.3~ rrupts In accordance with the present invention, "event" is the term used to describe an on-chip condition that a user might want to observe. An event can indicate an error or it can be informative to the user's software.
There are two single bit registers associated with each interrupt or "event". These are the condition event register and the condition mask register.
A.6.3.1 condition v-nt r-gist-r The condition event register is a one bit read/write register whose value is set to one by a condition occurring within the circuit. The register is set to one even if the condition was merely transient and has now gone away. The register is then guaranteed to remain set to one until the user~s software resets it (or the entire chip is reset).
The register is set to zero by writing the value one Writing zero to the register leaves the register unaltered.
The register must be set to zero by user software before another occurrence of this condition can be observed.
The register will be reset to zero on reset.
A.6.3.2 Condition ~ask r-gist-r The condition mask register is one bit read/write register which enables the generation of an interrupt request if the corresponding condition event register(s) is(are) set. If the condition event is already set when 1 is written to the condition mask register, an interrupt request will be issued immediately.
The value 1 enables interrupts.
The register clears to zero on reset.
~nless stated otherwise a block will stop operation after ~enerating an interrupt request and will re-start operation after either the condition event or the condition mask register is cleared.
A.6.3.3 Ev-nt ~nd maQk bits Event bits and mask bits are always qrouped into corresponding bit positions in consecutive bytes in the memory map (see Table A.9.6 and Table A.17.6). This allows interrupt service software to use the value read from the mask registers as a mask for the value in the event registers to identify which event generated the interrupt.
A.6.3.~ The chip evsnt and ~sk Each chip has a single "global" event bit that summarizes the event activity on the chip. The chip event register presents the OR of all the on-chip events that have 1 in their mask bit.
A 1 ln the chip mask bit allows the chip to generate interrupts. A 0 in the chip mask bit prevents any on-chip events from generating interrupt requests.
Writing l to 0 to the chip event has no effect. It will only clear when all the events (enabled by a l in their mask bit~ have been cleared.
A.6.3.5 Th- irg ign~l The irq signal is asserted if both the chip event bit and the chip event mask are set.
2~ The irq signal is an active low, "open collector" output which requires an off-chip pull-up resistor. When active the irq output is pulled down by an impedance of loon or less.
I will be appreciated that pull-up resistor of approximately 4kn should be suitable for most applications.
A.6.~ Accessing r~gist~rs A.6.4.~ Stopping circuits to snabls ~cc- s In the present invention, most registers can only -modified if the bloc~ with which they are associated is stopped. Therefore, groups of registers will normally be associated with an access register.
The value O in an access register indicates that the group of registers associated with that access register should not be modified. Writing 1 to an access register reguests that a block be stopped. However, the block may not stop immediately and block's access register will hold the value O until it is stopped.
Accordingly, user software should wait (after writing 1 to request access) until 1 is read from the access register. If the user writes a value to a configuration register while its access register is set to 0, the results are undefined.
A.6.~.2 R-gi-t-r- holding int-g-r-The least significant bit of any byte in the memory mapis that associated with the signal data[O].
Registers that hold integers values greater than 8 bits are split over either 2 or 4 consecutive byte locations in the memory map. The byte ordering is "big endian" as shown in Figure 55. However, no assumptions are made about the order in which bytes are written into multi-byte registers.
Unused bits in the memory map will return a O when read except for unused bits in registers holding signed integers. In this case, the most significant bit of the register will be sign extended. For example, a 12 bit signed register will be sign extended to fill a 16 bit memory map location (two bytes). A 16 bit memory map location holding a 12 bit unsigned integer will return a O
from its most significant bits.
A.6.~.3 ~-yhol-d a~dr-s- loc~tion-In the present invention, certain less frequentlyaccessed memory map locations have been placed behind 'lkeyhole~ll. A "keyhole" has two registers associated with it, a keyhole address register and a keyhole data register.
The keyhole address specifies a location within an extended address space. A read or a write operation to the keyhole data register accesses the locatlon specified by the keyhole address register.
After accessing a keyhole data register the associated keyhole address register increments. Random access within the extended address space is only possible by writing a new value to the keyhole address register for each access.
A chip in accordance with the present invention, may have more than one "keyholed" memory map. There is no interaction between the different keyholes.
A.6.5 Sp-cial r-gist-rs A.6.5.1 Unus-d r-gist-rs Registers or bits described as "not used" are locations in the memory map that have not been used in the current implementation of the device. In general, the value 0 can be read from these locations. Writing 0 to these locations will have no effect.
As will be appreciated by one of ordinary skill in the art, in order to maintain compatibility with future variants of these products, it is recommended that the user's software should not depend upon values read from the unused locations. Similarly, when configuring the device, these locations should either be avoided or set to the value 0.
A.6.5.2 R-~-rv-d r-gi~t-r~
Similarly, registers or bits described as "reserved" in the present invention have un-documented effects on the behavior of the device and should not be accessed.
A.6.5.3 Teqt r-gi-t~r-Furthermore, registers or bits described as ~'testreglsters~ control various aspects of the device~s ~1451S8 testah~l~ty. Therefore, these registers have no application in the normal use of the devices and need not be accessed by normal device configuration and control software.

SECTION A.7 Clocksi In accordance with the present inventions, many different clock~ can be identified in the video decoder system. Examples of clocks are illustrated in Figure 56.
As data passes between different clock regimes within the video decoder chip-set, it is resynchronized (on-chip) to each new clock. In the present invention, the maximum frequency of any input clock is 30 M~. However, one of ordinary skill in the art will appreciate that other frequencies, including those greater than 30MHz, may also be used. On each chip, the microprocessor interface (MPI) operates asynchronously to the chip clocks. In addition, the Image Formatter can generate a low frequency audio clock which is synchronous to the decoded video's picture rate. Accordingly, this clock can be used to provide audio/video synchronization.
A.7.1 8p~tial D~cod-r clock signalsit The Spatial Decoder has two different tand potentially asynchronous) clock inputs:
Input /
Signal Narne Descnp~lon OutDut coaed_clock Input Tt Is c!oc~ conuols Ca~a transler In :o he cooeo cata port ot the Spaual Decoder.
On-chip this clock conUolS tne process 9 o~ Ihe codecd data until it reaches the coded data t~utter.
aecoder_clock Inpul The decocer c ock cor~ ols the malonty ol ~he processing iunctions cn t~e Spa~lal DecoCer.
rhe decocer clock also controls the trans~er o~ data out oi he Spatial Decoder througn ts output port.
Tabl- A.7.1 8patial D-co~-r cloc~s A 7 2 T-~tporal D-cod-r clock sign-ls The Temporal Decoder has only one clock input Input /
Signal Narno O I ; iCs Output O-coC-r_cloclt Input The ~ cr~ r clocX contro4s all o~ the Vroceecmg lunctions on tho TomDoral Doder The ~-codor c~ocX atso conuols transfer ot ~ata In lo tr~e te nporal D codor through its input Drt anC out vi~ its outVut V~t Tabl- A ~ 2 T-rtporal D-cod-r clocks A 7 3 El-ctric~l sp-cifications 30 MH~
Num Ch~_ t~ SI~ Umt Nole Min M~
35 Clock D ~iod 33 ns 36 Clocx high voriod 13 ns 37 Cloctc low V no~ 13 ns Table A 7 3 Input cloc~ r-quir-m-nts Sym~l Par~mcter Min M~ Unlts v!uInput logic '1' vdt~g~ 3 6e VO~ ~ 0 5 V
V~LInputlogic'O'vollagc GND OS l ~,3 V
02Inputl-~lug cuncnt ' 10 T~bl- A.7.4 Clock input co~d~tions A.7.3.1 CMOS l-v-ls The clock input signals are CMOS inputs. VIH~ is approx. 70% of Vl~D and Vlh~ is approx. 30~ of VDD. The values shown in Table A.7.4 are those for V1H and VL at their respective worst case VDD. VDD=5. +- 25V.
A.7.3.2 8t~bility of clocks In the present invention, clocks used to drive the DRAM
interface and the chip-to-chip interfaces are derived from the input clock signals. The timing specifications for these interfaces assume that the input clock timing is stable to within + 100 ps.

21~5158 SECTI ~N A.8 JTAG
As circuit boards become more densely populated, it is increasingly difficult to verify the connections between components by traditional means, such as in-circuit testing using a bed-of-nails approach. In an attempt to resolve the access problem and standardize on a methodology, the Joint Test Action Group (JTAG) was formed. The work of this group culminated in the "Standard Test Access Port and Boundary Scan Architecture", now adopted by the IEEE as standard 1149.1. The Spatial Decoder and Temporal Decoder comply with this standard.
The standard utilizes a boundary scan chain which serially connects each digital signal pin on the device.
The test circuitry is transparent in normal operation, but in test mode the boundary scan chain allows test patterns to be shifted in, and applied to the pins of the device.
The resultant signals appearing on the circuit board at the inputs to the JTAG device, may be scanned out and checked by relatively simple test equipment. By this means, the inter-component connections can be tested, as can areas of logic on the circuit board.
All JTAG operations are performed via the Test Access Port (TAP), which consists of five pins. The trs~ (Test Reset) pin resets the JTAG circuitry, to ensure that the device doesn~t power-up in test mode. The tck (Test Clock) pin is used to clock serial test patterns into the tdi (Test Data Input) pin, and out of the tdo (Test Data Output) pin. Lastly, the operational mode of the JTAG
circuitry is set by clocking the appropriate sequence of bits into the tms (Test Mode Select) pin.
The JIAG standard is extensible to provide for additional features at the discretion of the chip manufacturer. On the Spatial Decoder and Temporal Decoder, ;

there are 9 user instructions, including three JTAG
mandatory instructions. The extra instructions allow a degree of internal device testing to be performed, and provide additional external test flexibility. For example, all device outputs may be made to float by a simple JTAG
sequence.
For full details of the facilities available and instructions on how to use the JTAG port, refer to the following JTAG Applications Notes. --A.8.1 Conn-ction of JTAa pinJ in non-JTAa yJt-~

Signal Oirectlon l )escnption trst InDut This pin ha5 an intemal pull-up, ~ut must ~e taken low at power-up even i~ the JTAG ~ea'ures are not ~eing used. This may De achieved cy ccnnecting trst in common with the chiD reset pin reseL
tdi lnDut ,hese pins have internal pUll-uDs~ anc,--,ay ~e len tms d-xonnc_l.d it the JTAG circui'~y is not ~eing used.
tck Input This pin does not have a pull-up, ancl snould be tle~
to ground it the JTAG circuitry is not used.
tCo Out~ut High impaan,ce except during JTAG scan oDerabons. It JTAG is not t~eing used, ~is Din may ~e le~t dixonnc_tod.

T~bl- A.8.1 ~ow to conn-ct JTAG input~

21~51~8 A.8.2 L-v-l of Conform~nc- to IEEE 11~9.1 A.8.2.1 Rul-s All rules are adhered to, although the following should be noted:

Rules D : -3 1. l (O~ Th- t~t pin ù prov~.
3.5.1 (b) Gu ran~-a ~or t~U PUbfic in~t~uct~ (se- IEEE 11~9.1 52 . 1 ~c)).
5.2.1 (c) G~ ~ ~ r ~ ~ lor tul puOfic ir~trucDon~ For some prrvate instrucOons the TDO pin may Oe ~ettve 5uring any o~ th-states C~Dture~Dq~ EJnit1-Df t E~i~-2-DR ~ P-us~Dq 5 3.1(~) Pow r on-r~ u t~i v~d Oy u of the t~r l pin.
62.1 (e ~ A co~ for the f3YP~SS in~trucoon is loarf-a in the T~st-Loge-q-s~t S.~t~.
7.1.1(rJ) Un-alloca~ert instrucoon co~-~ are cuivL-n~ to 3YPASS
7.2.1 (c) ~-re is no C-vee ID reg~ r.

Tab l A . 8.2 JTAG Rul-~

21~5158 - ~tule5 7 8 1 (t~) Sm;~e 5 ~ ~ ODeraUOIl reCUIreS ertern~l conuol ol t~e syslem c~oc~.
7 9 1 ( ) Therc Is no RUNt315T t~ulity 1 l t ~ ) Thera is no 10CO0E instrucDon 7 12 1( ) Thero is AO DScRCODE insUuctlon e.l.l (b) Thero i5 no oevlce ~t~ n re9isler e2. 1 ~c~ Guu~nlee~ io~ all DuDlic instrucuons The oC-renl !engtn ol the path trom trti lo Ido rnay ch~nge under certaln c .o ~ w c~s while Drrvsts instrucDOn cootes ue lo~ded e.3 n ~r~ ~) Gu r~nte o ior ~11 puDlic inStrucDon~ Dst may t# lo~oed Irmes other than on thC rising edo- o~ tclt while prr/~e insuucbons CDdes ue load-d 10.4.1 (C) Durino IN~EST the system cloct~ Dln must D- controll-d ~ternstly 10 6 1 (c) Dunng INTEsT~ outDut pins ar- controlled t~y C~ta snitteo in via tdl T~ A. ~ . 2 JTAG RUl-St A. 8 . 2 . 2 R-col~-nd~tion~
n. . . D
32 1 (D) tclr is a h ~ ce CMOS inDut 3 3 1 (c) trns h~s a hlgh; ~-- DUtl~uD
3 6 1 (d) (AD0i~s to us- ol r hiD) 3 7 1(~) (ADDI4s to us- d chip) 6 1 1(-) The SAtUpLElpRELoAD instrucllon cocte Is IcaceC ~unng C~Dture-lR
72 n (t) Th- INTEsT instrucaon Is wDDoQ o 7 7 l(9) Zeros are Io a~ u syslem outDu~ Dms ounng EX~câT
7 7 2~hl All syst~r~ OU~DUtS rn~y t~< Set h;5~h .. ~ 'lCr .
7 8 1 ~t~ ZeroS are baoea a~ sys em inDU~ Dlns aur;nS ~NT~Sr 8 1 1 (a~el Deslgn sFeC"ic tes~ r~ata r-g~sters are nol pu~ur~( ac:essl~le Table A.8.3 Recommen~ations met , Rl '~ 0 10 4 l ~1) During EXTEST tt~ ~I dr~n tnto ~ o~hp ~9~ hom the s~m cJocl~ Din i~ ~tl ~id ~m~lty Tabl- A 8 ~ R-co~-ndation~ not ~pl~-nt-d A 8 2 3~ ion~

r,, ~tlS `~;
3.2.1 (c) Gu., c~ 1 ~ lot ~U pubtic u ~ ~IC~
6 1 1 (r) Tho irutnJcoon rooi~t r is not W d to c~ptura ~

7 2 1(9~ S-WtL ddilion J public ~nor~ uo Drovidao 73 1 (a) S-v rU Dtlv~lo i~on COd ~ u- uloc~tad 7 3 1 (c) ~Rul-?) Sucr ~ 6~ ~ codes sre dooJm nt~
74l(r) ~ItjonUcod tD rbrrnid ncc~yto9YPASS, 10 1 1 (i) Esch o~tDul Din n~S it~ o~n 3-~ta eontrot 10 3 1 (n~ ~ D rUld l-tcn is Dtoviid~d~
10 3 1 (i,;) Dunng EXTEST input l~iN u- controll d by o~U sni1ted in vla tdl 10 6 1(~,~) 3 UtU cells ate not It~d in c~ in tne Test Loglc Rese st~t~

Tabl- A 8 5 P-rmi~tion~ m-t , SECT~O~ A.9 Spatial Decoder 30 MH7 operation Decodes MPEG, JPEG & H.261 Coded data rates to 25 Mb/s Video data rates to 21 MB/s Flexible chroma sampling formats Full JPEG baseline decoding Glue-less DRAM interface Single +5V supply 208 pin PQFP package Max. power dissipation 2.5W
Independent coded data and decoder clocks Uses standard page mode DRAM
The Spatial Decoder is a configurable VLSI decoder chip for use in a variety of JPEG, MPEG and H.261 picture and video decoding applications.
In a minimum configuration, with no off-chip DRAM, the Spatial Decoder is a single chip, high speed JPEG decoder.
Adding DRAM allows the Spatial Decoder to decode JPEG
encoded video pictures. 720x480, 30Hz, 4:2:2 "JPEG video"
can be decoded in real-time.
With the Temporal Decoder Temporal Decoder the Spatial Decoder can be used to decode H.261 and MPEG (as well as JPEG). 704x480, 30Hz, 4:2:0 MPEG video can be decoded.
Again, the above values are merely illustrative, by way of example and not necessarily by way of limitation, of typical values for one embodiment in accordance with the present invention. Accordingly, those of ordinary skill in the art will appreciate that other values and/or ranges may be used.

A. 9 . ~ ' ~Spati~l Decoder Siqnals Signal N~rn- tt Pin Num~r C Jt. ~
cooeo_cloek I lR2 CoC~ Oala Po~ U#C lo supply coCed_C~u~:0] I ~72, 171, 169, 168, 167, 16'6, 164, cod~d d ta or Tokens to ~e Sp tù~
163 Oecoder.
coCed_enn 1 174 See s c~ons A 10.1 ar.d cOded_volid 1 162 coC~o_~cc~pt O 161 ~4 t~yte_moCe 1 176 en~t~ie~ 1:0] 1 126, 127 Micro Proc ssor tntart ce (MPI).
rv~ 1 1 25 ~or~6:01 1 136, 135. 133, 132, 131, 130, 12 ~U~7:0] 0 152,151,149,14~, 145~143~141 S~see~on~6 ir J O 154 DR~M_rJ~u~31 :0l UO 15, 17, 19, 20, 22, 25, 27, 30, 31, DRA.U lntut~cL
33,35,38,39,42,~4,4~,49,57, 59,61,63,66,6~,70,72,~4,~6, S e ~on A52 ~9, 81, ~3, ~4, ~5 OR~M_~oCr~10:01 O 1U, 186, 168, 1~9, 192,193,195, 197, 199~ 200, 203 ~3:01 O 2, 4, 6, B
;~ 0 12 DR~M_ n~t~l- I 112 ou~ U~:O] O ~, ~9, 90, 92, 93, g4, 95, 97, 9~ Output Port.
out_enn o ~7 S~ on ~4. l ; cut v~lirJ O 99 ou:_~C:ept I 100 ~AG paL
~, 1 1t6 See secDon ~-~ O ~20 Table A.9.1 Spatial Decr.~det signals , Signal W VO Rin NumOer Desc p~ion d cod r_clock 1 177 rhe~ ndooercloclose-section ~.~
r set 1 160 Rese-Table A.9.1 Spatial Decoder signals (contd) Sign i NuTtttt ilO i~in Num D ~ ;
tphObh I 1~ ll ove rid 1 th n tphOish and t ph 1 isn a-~
~pnlisn I 123 inpUts lor the on cnip r~o Dh~ clock ov~rrid 1 110 for normti op raion s l overrid- . O
tphOhn Utd tpn 1 I~n u- ignored (so conn ct to t;ND or VDo) ct~tipt~s 1 111 S-t chtipt~l O hr norm l op ration tloop 1 11~ Conn ct to GND or VOO dwng normal opaton ramt st I 109 It rtun#~l 1 t st ot ttU on chp ilAhls is en bl td S-t ramlesl O lor normal operanon p~s~l cl 1 17t~ It pll~ t ct ~ O tne on-cnlp phase locked toop~ U di~bW
Sel pll- bet 1 tor normal ooerauon ti 1 1 t30 Two clOClU rquird ~y tne Of i~M imertat e Iq 1 179 during te~st o~ration Connect to GND or V~D dumg normal operuion paout 0 207 T~t two p~ns are con~r lor an ~oin 1 206 esternal filter tor trle phase lock iooo Tab~e A.9.2 Spatial Decoder Test signals S gnal Nun- } pql Signal Name Pin Sign~l N~me Pin ¦ Signal N~m~ Pln nc 208 nc 156 nc 104 I nc 52 teSS ~In 207 nc 1 SS nc 103 j nc S t ;es ~In 206 It~ tS4 nc 102 nc 50 GND 205 nc 153 VDO 101 DRAM-cau(lsi 49 OE 204 dat~ 152 o ~t_~t 100 nc 48 DRAM_aaerl01 203 C~t~[6] lSl out_valid 99 ORAM-aa;a~l6l ~7 VDo 202 nc 150 out_dat;t(01 98 nc 46 nc 201 aaulsl 149 out_daUt1l 97 GND 14S
DRAM_~CCr[~l 200 nc 148 GND 96 DRAM_daul17; !44 ORAM_addrl21 199 dU~(41 147 out_dat~Z~ 95 nc 43 GNO 198 GND 146 oul_d-t Pl 94 DRAM-data(18l 42 DRAM_~aorl3] 197 dU-(3] 145 out_datU[4¦ 93 VDD 41 nc 196 nc 144 out_d t lq 92 nc 40 DRAM-~adr~4] 195 dU~12] 143 VDD 91 OAAM_datall91 39 VDD 194 nc 1-2 out_d-tuj61 90 DRAM_Cau[201 38 DRAM_aadrlS] 193 d t~(11 141 out_d t~i 89 nc 37 ORAM_~dr~6] 192 d~t (Ol 140 otJt-dtlttJpl 88 GNo 36 nc 191 nc 139 out_extn 87 ORAM-datal2l1 35 GND 190 VD0 138 GND 86 nc 34 DRAM_aadrl7] 185 nc 137 DRAM_d~U¦0] 85 ORAM_eaU[221 33 ORAM_aadr~81 188 add~] 136 OAAM_d t 11] 84 VOO 32 VOO 187 ddr[q 135 DAAM_dtll~12] 83 DRAM_eau[231 31 ORAM_ aa (91 186 GNO 13~ VDD 82 DRAM_dau[241 30 nc 18S d~41 133 DRAM_d-t (31 81 nc 29 DRAN_aaarl101 184 ~ddr[3] 132 nc 80 GND 29 GND 183 addt~2] 131 DP~AM_d~U141 79 ORAM_eau[25j 27 coa C_etock 182 a~dtl~] 130 GND 7~ nc 26 VOO 191 VOO 129 nc 77 ORAM_a~ul261 25 tes ~In 180 addt101 128 ORAM_~UlSI 76 nc 24 les~ oln 179 e~1l 127 nc 75 VOO 23 test ~In 178 n~ot l1l 126 DAAM_~t 161 74 ORAM_Catal27] 22 eecoae,_:loc,~ 177 r~ 125 VDD 73 nc 2 or~e ~oe~ t76 Gt`tO 24 ORAM_e~tal7¦ 72 ORAM_C~U(29l 2^
GND 175 IteslD~n 123 nc 71 ORAht_C~ul291 ~9 coea_enn 174 ¦t slDm 122 DRAM_eat l61 70 ¦GNO 9 Table A.9.3 Spatial Decoder Pin Assignments ~1~5158 5~ N~ F~in Sigr~l N~ ffn S$nal Name ~ r S~snal Name Pln nc 208 nc 156 nc 1 G4 nc 52 tesl pm 207 nc 155 nc 103 nc 51 le61 Din 206 uq 15~ nc 102 nc 50 GNO 205 nc 153 VDO 101 DRAM_Cta(151 49 OE 204 C~U[71 152 out acc~P' 1 C0 nc 48 oR~M-~ddr[ol 203 daU~61 151 out_valid 99 D~AM_dua[16] ~7 VOO 202 nc 150 out_d~tal0] 58 nc 6 nc 201 dUa~Sl 149 out_data[lJ 97 GNO 45 OA~M_ ddr[1] 200 nc 149 GNo 96 OR~M-c t [17] 44 DRAM_addr~21 199 dua[41 147 out_Cau~2¦ 95 nc 43 GNO 198 GNO 146 out_d4;a~31 9- OR~M_d U[181 2 OR~M_aCdr~31 197 d~U[3¦ 145 out_d~U~4] 93 VDO 41 nc 196 nc 144 out_d U~SI 92 nc 40 OR~4M_addr~4l 195 d U121 143 VOD 9' DRAM_d U~l9] 39 VDO 194 nc 142 out_dau[6] Qo DRAM-dat~l2ol 38 DR~_aOdrlSl 193 0~11] 1'1 out_d U~71 es nc 37 OR~M_aCdr[6] 192 d~t01 140 out_d-t l81 88 GNo 36 nc 191 ne 139 ou~ 87 DRAM_~u[21] 35 GNO l9O VDO 136 GNO ec nc 34 ORUM_~Cdrl71 189 rc 137 DR~M_~UI0] 85 DRAM_W 1221 33 ORAM_~ddrl8] lu ~161 136 OR~_~U(ll 84 VDD 32 VOO 187 oddt5] 135 ORAM_~t 121 e3 DRAM_cu~(23] 3~
DRAM--ddr(9l 166 GNO 13~ VOO a2 DRAM_d t [2~] 30 nc 16S d~41 133 O~M_C~t 131 e1 nc 29 DR~_aoCrll0] 18~ pl 132 nc 80 GNo 2a GNO 183 db~21 131 DRAM_d~t~ '9 DRAM-cau(25l 27 cr~ed_d~x~ 182 ddr(l] 130 GND 7~ nc 26 VOO 181 VDO 129 nc 77 DRAM_C t~261 25 tes~pin 180 u~0l 126 DR~M_d t~lSl 76 nc 24 It pn~ 1~9 ~ql 127 nc 7s VDD 23 1 stpn 178 ~l] 12C DRAM_~t-[61 74 DRAM_~t-(271 22 ~coder_clcc~ 1f7 rw 125 VOO '3 nc 21 t~e_moCe 176 GNO 124 DRAM_Cata~772 ORAM_~ata~29~ 7 GND 175 ust pin 123 nc 71 ¦ CRAM-a~u(29l ;
cr~_esrn 174 I st tin 122 DRAM_~taj8]70 I 5~ e Tab~e A.9.3 Spatial Deeo~er Pin Assignments 21~5158 ., Sigrl~l N~mo Pu~ S~ Nuno ~in S-gn~l N~rT~o ?in S~gn~l N~rre f"~
r.c 1'3 ttst 121 GND 69 ORAM_C~u~301 1~
csCeC_C-I~ 172 tdo 120 OR~M_dU~t91 6t nc 16 cocec_c5t~61 171 nc 119 nc 67 CR~M-c4t~(3ll 15 voO 170 VOO 119 DR~ U~10¦ 66 ~DO 14 :oceo_c~t~(SI 169 t~ 117 VOD 65 nc 13 csC Io_c~t t-l lU td-l 116 ~IC 6 ~;iE 12 csC c_cat~t3l 16~ tctc tlS OR~M_C t~(l1l 63 ~ 11 coc C_C~t~[21 166 tt~in 1t- nc 62 nc lO
GNO 16S GNo 113 DR~ ~t 112] 61 GNO g coC C_C4;~(ll 16~ DR~_~b 112 GND 60 ~0] 9 coc c ~t~t0] 163 t apil111 DR~U~ t131 59 nc coCeC_v~liC162 tfftpin 110 rc St ~i~tll 6 csCd_ cc~ot161 l~t pr;n 109 O~ l t1--1 57 VDO S
reS~t 16C nc 105 VDO j6 i~;21 4 V00 159 nc 107 nc 55 nc 3 nc 1 5J ne 106 nc 54 ~;31 2 nc l 5~ nc 105 nc 53 nc T~bl- A.9.3 Spatial D-coder Pin A~signm-nt~ (contd) A.9.1.1 "nc" no conn-ct pin The pins labeled nc in Table A.9.3 are not currently used these pins should be left unconnected.
A.9.1.2 V~"~ and GND pins As will be appreciated by one of ordinary skill in the art, all the Vl,,, and GND pins provided should be connected to the appropriate power supply. Correct device operat~n cannot,be ensured unless all the V~,~ and GND pins are correctly used.
A.9.1.3 T-~t pin connections for normal operation Nine pins on the Spatial Decoder are reserved for internal test use.

Pin nur~r Conneellon Connoct to GNO lor norm~l OKr~tion Conn ct to VOO to~ nornul O?~r~Don ?Dpen CireL~ ?or morrn~J oo~ratlon Table A.9.4 D-fault te~t pin conn-ctions A.9.~.4 JTAG pins for normal op-ration See section A.8.1.

`
.

A. 9 . 2 S~atial D-cod-r m-mory map ~ddr. (h~S) R~ r Nur~ S~ t~l~
0~00 0~03 Itll4~ r~ . A.9.6 0~04 ... 0~07 Input d r~g~ A,9.
0~08 ... OsOF St rl eodJ d~r r~t~rs ~ ~r~ t ~S A.9.8 0~16 ... o~t17 Nol t~d 0~11~ .. 0~23OR~l h~l~ ~ J~ r~ t~ .9 9 0~4 .... 0~26~br rnu~r t~ ~d k~ r~gisu-s ~.9.1 0~27 No~t uu~
0~28 ... 0~2f Hu~ d~ .g.~3 0~30 ... 0s39 1~# qtJ n~ r r~ .9-1 o~ .,, o~ Not ~d 0~3C R~d 0~30 ... 0~3F Not ~d o~4o ... O~F T st ~t~s Tabh A.9.5 Ov~w;_~ o~ Spatial Decoder memory map ArJCr Bn R~gist r N~m (he~) num 0~007 chip_ v n~ CEO_EVENT_O
6 not us d 5 111-9 ~ n~ count_~nl Sco-lu~G~L-LENG7H-couNr 4 ~d rray t ~C ~ or û
SCO_JPEG_OVERUPPING_START
3 o _ ~ sl~n_cv nt Sco-Nofv-JpEG-ovERL~ppb~'G-sTART
2 ; ~ t n_ v nt Sco-uNREcoGNlsfo-sTART
nop_~tt r_pictur-_~nt SCO_STOP_AF~fP_PlCTURf O non_~ ud_ ~ t_~nt SCO_I~v_~LlGNED ST~RT
0~01 7 chip_m~ C~_~ 0 6 not u~d S Itbpl_t ngth_e~ 1_1 4 rwv d ~nt 0 to ~ ioc tion SCO_JPEG_OVERUPPtNG_SrART
3 nonJp 9_~ b ~ ~ t_m~
2 ~ n_mnk O non_clign d-~n-mc~tr 7 Ida-too-le~-~ntlDcT DffF NUM
6 Ida_too_m~ny_~ntlOCT SUPER_NUM
CC-pt_~h_~nt 85_5TREAM_END_EVENT
4 ~rq t_m~t_ v nt 9S_TAAGET~tET EVENT
3 counUr_tlu~d_too_ orty_ ~nt liS_fLUSH_liEFOl~E_TARGEr_MfT EVENT
2 counUr_tlu~ d_ev nt BS_FLUSH_EVENT
1 p~r~er_event DEMUX_EVENT
¦ O nunm~n_ev nt ~UFf~UN_EVENT
Tab~e A.9.6 Interrupt #rvice area r~g;slers 21~5158 ~r. ~it lcrNcrr c P~ge rd re~s (~e~) num 0~03 7 ICa_too_t~_~llnk 6 la-to~-m~-mc~k ~cc pt_~_mnk 4 tug~t_mot_m-~k 3 co~mt r_ll~d_too_ rly_m--k 2 co~nt r_tlu~d_mc~k ~r_m#k O l~ rNn-mc~k T~bl- A 9 6 Int-rrupt ~-rvi¢- ~r-~ r-gi~t-r~ (cont~) 214SlS8 , --AC~r ~a R gst~ Nun~ P~g~ r-l~r~
(l~e~num OxO~ 7 cod d_bu-y 6 ~Nbl~_mpl_lnp~
S cod d_onn 4 O nol u#d 0~05 7 0 coded_d4U
Ox06 7 0 no~ U#d 0~07 7 0 not u~d 0~ 71 no~ u#d O ~t~n-cod _~or_~c~J
~o Inp~lt_d~ult_~eee~
CED_SCO_ACCESS
0~09 7 ~ not u~ CEO_SCO_COwnROL
3 ~ _~r_~ic~n 2 di~td_-sl-n lon_d u dlsc4rd_w _d~U
O Ignon_non_ lign d O~OA 7 5 no~us d CEO_SCD_STATVS
4 ins~rt_#~nce_s2~r~
3 di~rd_sll_dst~
2 0 sun-cooe-#~

Table A.9.7 Start code detector and input circuit regi,~e. s 214SlS8 A~dr t3~t R~tN~Te p~gereter nc s (he~) num OxOt3 7 0 T st reg6ter tength-count O~OC ? 0 O~OD ? 2 nolu#d st rt_eod~_~uctor_coding_sUnd~ d OxOE ?0 sUrt_v lue O~OF ? - nol u~d plctur_numD r Table A.9.7 Statt code d~ r and input circutt rcg;~tcrs (contd) AddrFit t~q~st N~me P4ge rete ences (he~) nu~L
0~10? 1 notus d O t._ :r~n~ CEO_ES_~CCESS
0~11 ?3 notu#d 2 0 Dit-count-pnnc~h CED_SS_PRESCALE
ox12 ? 0 Dit_eount_t rpt CEO_SS_r~RGET
Ox13 7;0 oit_counl CED_BS_COUNr C-~14 7 1 no~us d 0 ottc~ip_~ueu C~O_~S_OUEUE
0~15 7 1 notused O en t~k_str~ m CED_~S_~NAJLE_NX7_5~U
TabJe A.9.8 Buffer start-up regi~l~rs 214S1~8 ., Addr. gn Regist N~mc P~ge rcl~rences num.
0~18 ?:5 not u~d 4.~ p ge-#~n-bng~
CED_IJ_P~IG~_ST~T LEWG~H
o~ls 7:4 not u- d 3:0 r ed_c~cle_l~
O~lA 7:4 nolus d 3:0 ~ntc_c1cb_1-ngm TabhA.9.9 DRAbl .1~ f ~e conf:g t.~t;~n -e3 ~e s 21451~8 , ~rJ~r. 91t f~st r N~ p~g~ r~er~r~ce5 (r~e~) num.
OYlB ~:~ no~u#d ~ ~ , c 3:0 rdrh_cyclc_bngth OY1 C ~:4 not t~
3:0 C~S_hlling 0~1 D 7:4 not u~
3:0 R~S_hUing OY1E 7:1 nott~d O In~co_Umtng_ccc~
OYlF 7.0 r tre-h_ht r~l OY20 7 rlOItJ5 d 6 4 ~ 2 1 3:1 CAS_ ~ ,L 12-O ~S_~ng~l2 OY 1 7:6 R~S~ O
5:3 OF~E_ ~ t2:0 2 0 D~ ql OY72 7 ACCESS Illt hr ~ ~ ~tc~ ?not ~dC~D_Of~u_CONFlGUflE
6 ~_~I -~
S O~
no_~h 3 2 ~_~dth~_tll1~11 01 t O MA~_~_~1:0]
OY73 7:0 T~t ~n C~O_PLLRES_CONFIG
Table A.9.9 DRAbl interhce cG.~fi~.~.dtion r~ s (contd) ACdr. Blt R g~t r Nun Pege r terer~e~
num O~e47 1 not u~d O ~utbr_meN9~r_~CC~
OYZ5~-6 nol U#~
5:0 bun r_rn n~rpr_~d _~oYtrff~
0~267:0 ~u11-r_rn n~ ~nd-_r~t~ ¦
Table A.9.10 8ut~er manager access and keyhole registers 21451~8 ~r. ~t Rogi~l r Nu7~ P~gc ~c~r nc~s (h~X) nunL
OxOO 70 notus d OxO1 ~:2 1 0 cd Ox02 7D
Ox03 7D
Ox04 7:0 nol u#d OxOS
1 0 edb_lcngU
Ox06 7D
OxO~ ~ O
oxoa ~D ~ol us~d oxO9 7.~ cdb_ro d O>D~ 7 O
OsO~ 7D.
oxoc ~ O not uc d OxOO 7.0 c~_n~r OsOE 7D
OxOF 7 o Ox10 70 notuc d Ox11 7D d)_~t~
Ox12 ~O
0~13 ~:0 Ox14 70 notu- d Ox15 7 0 tt~_~
Ox16 ~ O
Ox17 7D
Ox18 7 O nol u-d 0~19 7 O ~_~d Ox1A 7D
Ox1~ 7D
Ox1C 7D not u#d Ox1 D 7:0 t~_num~r C~1E 7:0 0~1F 70 Table A.9.11 Buffer manager e~endc~ addreSS space 214515~

A~ _ ~t Flqista Na~ne P~ge rel~r~
~s) num 0 ~ 7D notus d Os21 7D Oun r_limil OxZ2 7~

Os2~ 7 ~ not u~d 3 edb_tull 2 edO_empty tb_tull o to_ mpt't Table A.9.11 Butter ~ g~r e~tended address space (contd) Addr ait R~gist r N~ Psg- r-l-r ne-s nunL
0s23 7 ~ OED_~LCT~477 6~ hutln~n_ nor_eod 2 I CEO_H_CrP~6:<1 3.t~ priv~ hustrNn control on~ 131- hcts ~p ci~l COP, t2~ ~ bit fi~-d l ngth C8P
QCS 7D p n~r_ nDr_cod~ CED_H_O~UX_ERR
0~ 7 ~, notu~ d 3D d mus_tr~hob_~ddr~
Ox29 7~ C~_H_KEYhCLE_~ODR
0S2C 7D Onnus_b yhob_d t~ CED_H_KEYHOLE
Os2D 7 durnm~_t#t_pctur- CEO_H_ALU_REGO, 6 fl, ld_lrlto CED-H-ALu-REGo~ t-reld-in~o-Dlt 5 1 not u~ d O conUnu CED_H_~LU_REG0.r_con~nue_~n Os2E 7iO rom_r vi~on CEO_H_ALU_REGt Os2F 7 0 DnVU gist r Tabk A.9.12 Video demux registers 21~5158 ~dCr. ~t Reg~st r N~ P~9- r~le r (h~ n~
O~F 7 CED_~_TRACE_EVE~Twntellosngbstep. one w~ ~rdwh-nth stePh~ ~n comobted 6 CEO_~_TRACE_~ASK #t tO ~ tO enter single ~p rrod~
S CED_~_TRACE_RSTpu~r~w~en se~usnc~
1,0 4 0 no~ t~d T~ble A. 9 .12 Vi~-o d-mux r-gi~t-rs ~cont~) ACCr. 5it R gist Nvne P~ge r~ierences (heX) num~
CxOO7:0 notus d OxOF
ox'o7:0 ~lork~ r h~W
Ox117.0 Ox127:0 v n~ r_~4 0~137 0 Ox 1~ 7~ not L~
t O butl _d~ r l~rOtw ~ze OxlS7 0 Ox 16 7:~, not us-d 3:0 p l_#p ct tJ~/_~d 0X177-? ~u#d 1:0 bit_t t t_Di~_fi t~
Oxl~7.0 Ox197:0 Ox 1 A 7:~, no~ u#d 3:0 pl~_t u rJ~c_r~
Oxl~7:1 no~u#d O _ ~ ~ t_~
O~lC 7 0 pictut~_typ-Ox1D 7:0 1~261~1c_t~
Table A.9.13 Video demux ext~n~ dd-ess space (Sheet 1 ot 8) , . .
A~cr, ~t , ~ t rN~ P~g- rel-r-r~c-s I (1~) num O~lE 7~ no~d 1g Orolt n_do~ed 0~1F 7 5 nolus d 4 0 pr dic~on_mode oY7o 7g vDv_dd-~y 0~21 7.0 7g pnv~ure9ut~ MPEG h~_Pd~ JPEG
p rldin~lhm~_c~
o 3 70 p~t ~gist r MpEG hn~3d_~wd.JPEG
rtut_inde~
~e4 7.0 p~re9u~r honz_mb_cr py 0~25 7g plc_num~r 0~26 71 not u~d 10 m s_h Ox27 7 1 not us-d 1 o m~_v ~e8 7.0 pnvsleregst~ scrUch~
Qx~ 70 priv~ r~s~ rscr~
0~ 70 prM~ nsstrscr~h3 O~B 7D NnMPEG unu d 1, H261 ir~oO
OY~C 7g p st nqut r MPEG ~n~rouD,JPEG hs~sc~n 0~0 7g prns~r jc rt~PEG in~curs O~E 7 dumm~y_lest~cbl~r ~ rom_cor~
6 fil~ld_ln~o 5 1 not u~d O conanu O~F 7D nom_~ni~on 0~30 72 notus d 1 :o ~c-hun-o 0~31 7J' not u#d 1 0 dc - h~m 1 0~ 7~ r~O~ ~d 1 o dc_hult_2 Tab~e A 9 ~3 Video demux extended address space (Sheet 2 o~ 8) .. ~

~Xr~it - R-gist r N~ P~ge relerenc~5 ~) num 2 not u~d 1 0 dc_hutl_l 0~34 7~ not U#d 1.1~ Jc_hrltt_O
0~572 no~u~ d 1:0 ~e_n~
0~36 7 2 nol u~d 1 0 C_I~rJ~1_2 0Y37 7 2 no~ u~d 1 D ~C_t~ _3 0~38 7 2 not wed 1 0 t~_O r-t~Lo 0~39 7 2 not u~d 1 .0 ~1 ~_I~L l 0~ 7 2 no~ us-d 1 0 t~ 2 t t~2 0s38 7 2 not t~d l D tQ3 r_lo 3 0~3C 7D e~ . I_n~_0 r c_0 0~3D 7D e . - _n~_1 r c_1 Os3E 7D C 1, _n~me_2r_c_2 O~aF 7 0 c , _n~_3 r_c_3 ox40 7-0 P~t r~t~

0~63 Ox40 7:0 r_k_prd_0 Ox~1 7 0 0~-27 0 r_k~r d_1 O:x43 7D
Ox4~ 7 0 r_k~r d_2 O~tS 7 0 Ox46 7D r_k_or d_3 Ox~7 ~:0 ox~ 7 0 not~ea Ox~F
Table A.9.13 Video demux e~tended address space (Sheet 3 o~ 8) 21~5158 .

A~Cr 3it Regu r Nun- p~ge rclerences (hex) num OxSO 7D r_prev_m~
OxSl 7:0 0~52 7 0 r_Dr~v~
0~53 7 0 OxS~ 7 0 r_prev_mh~
Ox55 7D
O:~56 7 0 r_prov_mvb 0~57 7 0 0~55 7 0 nol t~d OxSF
Ox60 7 0 r_hori2_mt~cnl 0~62 70 r_v n_mbcn~
Ox63 7 0 Ox64 7 0 hori2_n ~ r_hodz_mOs OY65 7.0 Ox~6 7D ~n_ J~ r_vyl_,rr~
OX67 7:0 Ox68 7D pnv~l<r 9i~t r r_r~t~n_cnt ox69 7D
Ox6A 7 0 rffurt_lnt~l r_r st~_ht oxsa 7 Ox6C 7 0 privttt~ rqist r_btk_h_cnt Ox60 7D pri t~ tqist rr_b~_v_cnt Ox6E 7 0 prN~I r gist rr_com~id Ox6F 7 0 m~ _id r_m~_compid Ox70 70 coding_~und r~ r_codinc std Ox71 7 0 priv tc r~r r_Wn-m Ox~2 7 0 p~ t rqi~t r r_t~_r_si2-Ox73 7 0 pri~to r~gisl r r_bwd_r_si2-OX74 7:0 nol u#d C~x "
0178 72 not used 1:0 t~oc~_ll_O r_~lk_rl_O
Tab~e A.9.13 V~deo demux extended addresS space (Sheet 4 of 8~

. .

ACrS~ ~3a R-gstet N~ Page telerenees (h~) nurrL
0~79 7 2 no~ uxd 1:0 blocks_h_l t_blk_h_l 0~7A 7 2 notus d 1 D bloclts_h_2 r_blk_h_2 0~73 7:2 not u~d 1:0 bloc~_h_3 t_blk_h_3 0~7C 7..2 not u#d 1:0 bloclcs_v_O r_blk_v_O
0~7D 7:2 nolus ed 1:0 blocks_v_1 t_blk_v_1 0~7E 72 notus d 10 bloelrs_v_2 r_bllt_v_2 Ox7F 7 2 nol u#d 1:0 bloeiu_v_3 r_blk_v_3 0~7f 7D r101 us d O~FF
0~100 7D dc_bits_O[1S:Ol CED_H_KEY_DC_CPSO
0~1 OF
Oxl~O ~:0 dc-bi#-1~ls:olcED-H-KEy-Dc-cp8 Os11F
0~120 7D no~us d 0~13F
0~1-0 7D sc_brts_O[15:0] CED-H-KEy-Ac-cpeo 0~14F
0~150 7D ac_biu_1~15:01CED_~_KEY_~C_CPS1 0~ 1 SF
0~160 7:0 nolu#d Os1 7F
C~180 7:0 dc_ssss_O CED_H_KEY_ZSSSS_INDEXO
3~181 7:0 dC_8555_1 CED_H_KEY_ZSSSS_INDEX1 3~18L 7:0 nauserJ
Cl 7 0~ 7:0 C_COtl_O CED_H_KEY_Eæ_lNDEXO
Tab~e A.g.13 V~deo demux extended address space (Sheet 5 ot 8) ~r. 9a F~c~trN~T~ P~se~-t-~e~-5 ox) num.
0~139 7.0 ~c_ ob-1cED-H-KEy-Eoe-lNoEx1 0~ A 7 0 ~lOt U#d ox1e~
OxleC 70 ~e_~_0CEO_H_KEY_Z~L_lNOEX0 Ox130 ~0 ~c_~rl_l CED-H-KEy-zAL-lNoEx1 0x18E 7:0 not~d 0~1fF
0x200 7Dc_O~nnl_0~161:01CED_H_KEY_AC_lTOo_o 0x2Af 0x2~0 7Ddc_Ouhv 1_0~11:0]CEO_H_KEY_DC_lToO_o Ox29F
Ox2C0 7.~ no Ox2FF
Ox300 7.0 sc_nuovl_lllCl:0]CED_H_KEY_~C_lTOO_l Ox3AF
0~3eo 7D de_hsm~l_l~11:01CED_H_KEY_DC_lTOO_1 Ox3eF
0x3C0 7.0 not ~ d 0~7FF
Ox800 7D ~*~t r o~Uus O~C
F

Ox~OO 7.0 CED_KEY_TCOEFF_CP9 0x80F
0~810 7D CEO_KEY_C9P_CP9 0~81F
Ox820 7:0 CEO_KEY_~BA_CP9 0~2F
0s330 70 CED_KEY_MVO_CP9 0~33F
OIB40 7:0 CEO_KEY_~TYPE_I_CP9 0~84F
Ta~e A.9.13 Video demux extended addr-ss space (Sheet 6 ot 8) 21~5158 . ~ .

~cr. B~
Rbg~ rN~T~ p~ rer~eS
~h~) nunL
0x850 7:0 CED_KEY_hÇrYPE_P_CPB
0~85F
0x860 7:0 CED_KEY_ ~ YPE_B_CPB
Od6F
0~70 7 0 CED-KEy-MrlrpE-H~61-cpB
0~88F
0 ~ 0 7.0 nolu 0~00 0~901 7:0 CE0_KEY_HOSTROM_0 0~02 7.0 CED_KEY_HOsTROM_1 ox903 7:0 CED_KEY_HDSTROM_2 0~0F
o~slo 70 nolus d 0~
F

O~AC 7.0 CED_KEY_D~X_WORD_0 o O~C 7.0 CE0_KEY_DMX_W ~ D_l 0~AC 70 CED_KEY_DMX_WORD_2 0~AC 70 CED_KEY_DMX_WORD_3 0~AC 7~ CED_KEY_DMX_WORD_4 Ox~C 7.0 CED_KEY_DMX_WORD_S
s 0xAC 7:0 CED_KEY_3UX_WORD_6 0xAC 7:0 CE5 ~EY_DMX_wOR5 7 Tab~e A.9.13 Video demux cxlcndc~ address space ~Sheet 7 of 8) 21~5158 ~e~ 6it Regist t N~ Pase re/er~nces (t~) ntJrn.
O~C 7 0 CED_KEY_DMX_WORD_8 OL~C 7:0 CED-KEy-DMx-woRo-9 Ox~C 7D no~ t~d OxAC

O~C 7 0 CEO_KEY_DMX_~INCR
C

O~C 7:0 D

O~C 7 0 CED_ICEY_DMX_CC
E
O~AC 7:0 f Table A.9.13 Vidco d~mux e. b~r~ i ~ d address sp~cc (Sheet 8 of 8) ~rE~it Rq~r N~ Page reierenees nun~
7 1 nol IJ#d 0~30 71 no~ u#d O It~_~e~J
0~31 7 not u#d 1 0 It~_er~dint~_sl~ncbrd Os~2 7 5 not t~d ~, 0 t st r gist t ~cL~I-0~33 7 '~ not U#d 1 0 t~t r gist t irJ~ p ~ ~ t 0~34 7~ notux~
1 0 lesl regrster inverse_r~wntiser_prerJiction_moO~
0~3i 7 0 I-st reglster IP~ r ~; ~ 'c n Table A 9 14 Inverse q~ r registers A~dr 9rt Reglst Nam-Pa5~ r~lerences (nex) num Ox36 7 2 no~ uS d 1 0 test reS~ster m~g_ina; .CI on O~r37 7 0 not useC
0~3B 70 io Ubl-_lteynol-_actcttess 0~39 7 0 itJ_tObl~_k ynoh-d~u Tabl- a 9 1~ ~nv-r~- guantiz-r r-gi~t-r~ ~contd) AdCr Ragis~ Name Pa5e reterences (n ~) O~OO Os3FJPEG Invetse Cu~nllsauon UDle 0 MPEG Cetault inUa ~aCle 0~40 0~7FJPEG Invuse ~ Us~Don taCle 1 MPE5 dehult non-intra U~le O~B0 0~9FJPEG Inverse ~u~nusation taCI- 2 MPEG ~ n 'or ~ intJa tacl~
O~CO;O~FFJPEG Inv~rsa CU-nos~tlon taCb 3 MP~G down-load C non intra taCI-Tabl~ A 9 15 Iq t~bl- xt-n~ re~ ~pace ~, .

SECT10~ A.10 Coded data input The system in accordance with the present invention, must know what video standard is being input for processing. Thereafter, the system can accept either pre-existing Tokens or raw byte data which is then placed into Tokens by the Start Code Detector.
Consequently, coded data and configuration Tokens can be supplied to the Spatial Decoder via two routes:
The coded data input port The microprocessor interface (MPI) The choice over which route(s) to use will depend upon the application and system environment. For example, at low data rates it might be possible to use a single microprocessor to both control the decoder chip-set and to do the system bitstream de-multiplexing. In this case, it may be possible to do the coded data input via the MPI.
Alternatively, a high coded data rate might require that coded data be supplied via the coded data port.
In some applications it may be appropriate to employee a mixture of MPI and coded data port input.

.

A.10.~ codcd d~ta port Input /
Sign~l t~Jam~
O nput code~_cloct~ Inpul A Ct~c~t op nong U UP to 30 M112 conuolling the op~on o~ U~ input circuit corte~_r~ t~tl~ l Input Th~ 11 ~ir~ required to Implem-nt a cod d_e~tnInput To~t n PoQ ~ ~ ~ O oit ~ta values See sec~or coded v-lldInput ~ ~ br ~n d ctnc~ 5 L 1 ot this cod d_~CCept OUtPUt int rbc Cir0iu ott chip mu~t p cl~age ~e coded etata Imo Tokena hrt-_mo~ Input Whennign~n lindicatastnat c ~onls;o trwbrr d cro~ the COC~ data port In mor1e rath r th n roken mode T~bl- A.10.~ Cod-d data port ~ignal~

The~ccded data port in accordance with the present invention, can be operated in two modes: Token mode and ~yte mode.

A.10.1.~ Tok-n mod-In the present invention, if byte mode is low, then the coded data port operates as a Token Port in the normal way and accepts Tokens under the control of coded valid and coded accept. See section A.4 for details of the electrical operation of this interface.
The signal byte mode is sampled at the same time as data [7:0], coded extn and coded valid, i.e., on the rising edge of coded_clock.
a. lo . 1 . 2 ~yte mod-If, however, byte mode is high, then a byte of data is transferred on data[7:0] under the control of the two wireinterface control signals coded valid and coded accept. In this case, coded extn is ignored. The bytes are subsequently assembled on-chip into DATA Tokens until the input mode is changed.
l)First word ("Head") of Token supplied in token mode.
2)Last word of Token supplied (coded extn goes low).
3)First byte of data supplied in byte mode. A new DATA Token is automatically created on-chip.

a. lo . 2 Supplying dat~ via th- MPI
Tokens can be supplied to the Spatial decoder via the MPI by accessing the coded data input registers.
A.lO.Z.~ Writing Tok-ns via t~- MPI
The coded data registers of the present invention are grouped into two bytes in the memory map to allow for efficient data transfer. The 8 data bits, coded dataL7:0~, are in one location and the control registers, coded_busy, enable ~pi_input and coded_extn are in a second locatior..

21~51S8 ~, ~

(See-T~ble A.9.7).
When configured for Token input via the MPI, the current Token is extended with the current value of coded extn each time a value is written into coded data[7:0]. Software is responsible for setting coded extn to 0 before the last word of any Token is written to coded data[7:0].
For example, a DATA Token is started by writing 1 into coded_extn and then Ox04 into coded_data~7:0]. The start of this new DATA Token then passes into the Spatial Decoder lo for processing.
Each time a new 8 bit value is written to coded_data~7:0], the current Token is extended. Coded extn need only be accessed again when terminating the current Token, e.q. to introduce another Token. The last word of the current Token is indicated by writing 0 to coded extn followed by writing the last word of the current Token into coded data[7:0].
. ~ .
Rqist r n~ ~ ~

co~c_~nn 1 ~ To~n~ c~ 0~ ~uDD~ to t~ SC-tl~l C c2Cet nv via t~e MPI 2y wntjng to tn~ reSIs;ers co~-o_~t~ O
w cooee_ou~ 1 1 The st u o~ tllrs reglsurs mo~;es ~f L~-r - sD.b~ocOc-, i5 CD~ to ~CC~ cke~s wntten into eod~_d-t ~7 Ol The VLUe 1 in~ta~ tltat t~e int~ c~ s ~ous~
and una~b to ceept data Be~viour ~s unoaanad i~ ttl~ us r tnos to ~nte ~o eod-~_C-t~ Ol wr~-n coc-C_~u~y . 1 enaDI~_mpl_input 1 0 Tl~e value in t~l~ hnc~ion naDle r~,s ersrw controls V~et~ar coC d dat~ inDdtf^ s~ e S f al ~
O~coCems vu ~e coCeC Cua cor~ ~o~ or vla ~e MPI (l~
Tacle A.10.2 Coced data input regiSterS

~145158 -Each ~ me before writing to coded-data[7:o]~ coded busy should be inspected to see if the interface is ready to accept more data.
A.~0.3 Switching b-t~--n input mod-~
Provided suitable precautions are observed, it ispossible to dynamically change the data input mode. In general, the transfer of a Token via any one route should be completed before switching modes.

Prev~ous T~ N~ viour 9yta Tolun Th- on crlip circulU~ wiU W In- I~St Dyte sup~
MPI input Dyt- mod- ~ th- l~t Dyte ol th- DATA Toker~ ~at it w~5 cor~structing ~i e th- enn Dltwlll Oe set~o Gl 9-4re cc p~ng the nen Token Tabl- A.10.3 8witching data input mod-s ~ 2145158 ?r~ous moa- 1, N~ UCCe ! ae~lav~our Tol~en 3y~o~-cnl~ clr:ul~ sup~rng~h rcl~en In ~ol~er, ~o~eisr~i~crs~ reo~e~ Tok-~k wltn ~e e~ ~lt of ~e las~ ~e ^f,~f"~s~t~

o) b~for~ sel~J~g Cy~e ~oCe.
!.4PI ~neut Acccss ~o In~Ul vU tn~ ~PI W~ll 17~t te gran~e~ 1 e.
co~ed_~us~ em-m selhl~u~ ho~ p C~r~u~ SUc~llylrls he Toi~n in ~cl~en rl70Ce t.as ~ompl~ Tok~n (; ~ wit~ ~e e~ ~It ~1he las~
~t~ of ;(,~....,.I,o~ l to û).
MPI In~ul ~yt~ collUol so~r~ must ~tv~ c-mt~letec ~e MPI InDut Token (i ~ wl~ tll~ ~ bit ot1h~ 13st ~
~"~n ~J~ s-l Io O) ~or~ un~Ol~_mp i_ln~u t ~s s~t t~o.

Tabl- A.lO.3 Switching data input ~od-s (contd) The first byte supplied in byte mode causes a DATA Token header to be generated on-chip. Any further bytes transferred in byte mode are thereafter appended to this DATA Token until the input mode changes. Recall, DATA
Tokens can contain as many bits as are necessary.
The MPI register bit, coded busy, and the signal, coded accept, indicate on which interface the Spatial decoder is willing to accept data. Correct observation of these signals ensures that no data is lost.
A.lO.~ Rate of accepting cod-d data In the present invention, the input circuit passes Tokens to the Start Code Detector (see section A.ll). The Start code Detector analyses data in the DATA Tokens bit , serially. The Detector~s normal rate of Z1~51S8 proce~i~g is one bit per clock cycle (of coded_clock).
Accordingly, it will typically decode a byte of coded data every 8 cycles of coded clock. However, extra processing cycles are occasionally required, e.g., when a non-DATA
Token is supplied or when a start code is encountered in the coded data. When such an event occurs, the Start Code Detector will, for a short time, be unable to accept more information.
After the Start Code Detector, data passes into a first logical coded data buffer. If this buffer fills, then the Start Code Detector will be unable to accept more information.
Consequently, no more coded data (or other Tokens) will be accepted on either the coded data port, or via the MPI, while the Start Code Detector is unable to accept more information. This will be indicated by the state of the signal coded accept and the register coded busy.
By using coded accept and/or coded busy,the user is guaranteed that no coded information will be lost.
However, as will be appreciated by one of ordinary skill in the art, the system must either be able to buffer newly arriving coded data (or stop new data for arriving) if the Spatial decoder is unable to accept data.
. A.10.5 Cod-d d~t~ cloc~
In accordance with the present invention, the coded data port, the input circuit and other functions in the Spatial Decoder are controlled by coded clock. Furthermore, this clock can be asynchronous to the main decoder clock. Data transfer is synchronized to decoder clock on-chip.

2145~58 SECTLO~i A.ll Start code detector A.ll.1 8tart cod-~
As is well known in the art, MPEG and H.261 coded video streams contain identifiable bit patterns called start codes. A similar function is served in JPEG by marker codes. Start/marker codes identify significant parts of the syntax of the coded data stream. The analysis of start/marker codes performed by the Start Code Detector is the first stage in parsing the coded data. The Start Code Detector is the first block on the Spatial Decoder following the input circuit.
The start/marker code patterns are designed 50 that they can be identified without decoding the entire bitstream.
Thus, they can be used in accordance with the present invention, to help with error recovery and decoder start-up. The Start Code Detector provides facilities to detect errors in the coded data construction and to assist the start-up of the decoder.
A.11.2 St~rt cod- d-t-ctor r-gi~t-r~
As previously discussed, many of the Start Code Detector registers are in constant use by the Start Code Detector.
So, accessing these registers will be unreliable if the Start Code Detector is processing data. The user is responsible for ensuring that the Start Code Detector is halted before accessing its registers.
The register start code_detector access is used to halt the Start Code Detector and so allow access to its registers. The Start Code Detector will halt after it generates an interrupt.
There are further constraints on when the start code search and discard all data modes can be initiated. These are described in A.11.8 and A.11.5.1.

~_ 21151~8 ~Un_coa-_d-tsc~ot_ cc-s~ 1 0 Wri~ng 1 to ~is rsg~s;et ~ecuoststhatt~e sta~
~w COC- d-t ctor stop to Ulow ac:-s5 ~0 ~t5 re9ist~rs rne u5ar snould ~al~ u~ I ~e ~Je~
c~n ~c re~ ~rom ~s resi5ier inCica~s ~a OD~tion has StODDe~d anc acce55 15 ~055~1e Tabl- a. 1l. 1 8tart cod- ~-t-ctor r-gi~t-rs ~8h--t 1 of 5) .. ~

tlegl5t-r n~rne ~ ~ O - .~Sor i~ ~
ill-gal_t ngtn_count_event ~ O An ~Ihpl lengtn counl evenl w~ll oc: s rl wn~le ~w ci coding JPEG daU ~ length ccunl ~elC s ill-gal_l ngtn_count_tnask ' O lound cstrying ntlu- ' ss tnan 2. ~ his should rw only oCcur ~s tn- r sull ol an error in the JPEG
data Il tll~ muk regist r is S-l Io 1 ~en an Inlerru;l c n ~- g neru~ ~nd the stUt cocie detec or ~ifl stop S n viour lollow~ng ~n error is nol p J~ is enor is sUpDressec (r~sK
r gist rs t~oo) S- A 11 4 1 P-g_~J ~ ~g_sun_ev nt 1 0 I~Ulecodingsttu~rdisJPEGandttle ~w #quenc- O~FF hFF is 'ound while looking !or IP g_o~_ i F~ t n_mnk 1 0 ~m~rkercode tr~isennl will occur rv~ This ~u-nc- is a legal stut6ng secu-nce 11~ m~sk regisler is s t to 1 tt~en an in~erru c n ~ gener teC nd ~e stUt code cetec or wilf stoo S~ ~ 1 1 4 2 o~p lg-st~n-ev nt 1 11tn codingsUn~rc i5 MPEG or ~i251 anc rw n ov~ I-p~ ~ sun coci- is ~ounC wnil- look,r5 o ~ g_stan_mask 1 0 lor st n co~ tnis vent will occur It the rrasY
rw regist r is s-t to 1 then an mur~ot can b-9en r-t d and tn~ stan coa- detector w~il st;p S~ ~11 4 2 Table A.11.1 Start code detector r~g;sl~rs (Sheet 2 ot 5) .

Rogister name ~ Desc lpt~on i~ S
un~ gr I l C_~Un_~v~nt 1 0 ll an unr.`:o9n ~ ~ ~ s~an ;oCe Is enc:un ereC
rw this 6vent w~ll occur ll U~- rras~ re~lslemS set r . ~nl1 IC_sUrt_rnaS~l 1 0 ~0 1 then an interruot can ~e r;enera~eC anC ~he ~ starr coCe CeteC~or wlil s~0~
sUrt_valu~ S ~ The S art CoCe value read irom rhe Dlts ream s ro avalla~le in the regls~er start_value wnil~ ~e SlUt COC~ c~tecror 15 h~lted Se~ A. 11.4.3 ~unng normal oWallon st rt_value conUins Ih- value of the most recen~ly decoCeC s~ar~
rn rker cooe On~ rh- ~ L88s ol sUrt_valu- are useC dunng H 261 operarion Th- 4 1158s will 2e zero stop_aner_pictun_evont 1 0 l~ the re~ls~er stop_ane _picture 15 5~ ~o 1rv~ Ih~n a sl0~ att-r pic~ur- evenl will X generaleC
stop_att r_plctur-_n~lt 1 o attt r r~- eno o~ a plclure !las p-sseC through r~w tr- surt COC- de~ec~or stop_~r_picture 1 0 lt th- mask r gisler s sel to 1 tnen an m~erruo rw c n D- g-n-rUeC ano ~ne s -n coCe Ce~ec or will stop~ Se- A 11 5 1 stop_att- _pictur- Coes no~ rese~to 3 alter tn- enC 01 a plcrure has ~een c-lec~-d so shoulo ~ cleareC Cirecty Table A.11.1 Statt code detector reg-sl~rs (Sheet 3 of 5) .

Reglster nam~ ~ - O ~vt~on non_alignec_sUn_event l O When ignore_non_alignerJ Is 5el ~0 1. s an rw cod s that ue not Oyte afigneC are l9rora non_allgned_sUn_mask 1 0 ItreUed ~s normal data) rw When ignore_non_aligned is set to 0 H.251 ignore_non_aligned 1 0 and MpEG stut codes w~ll De Ce~!c1eC
rw regudbs5 d byu alignment andthe non align d st rt v nl will b- g ~ne ~ IIC
It t~ rn~sk rqisUr i5 Set tO 1 then the ev-nt will c~ an int rrupl and th- start coce d~leetor wla stoD S- A 11 6 It th- coding sund rd is configured as .PE5 Ignor-_non_a~igned is ignored anrJ ne non-align d surt vent ~Vill n v r b- g-neratee rJiscud_ n-nsion_daU 1 1 Wn-n t~-s- rqisUrsu s t to 1 extens~on or rw us r data tnat c nnot b- d coded Oy ~-rJiseard_user_daU 1 1 Sp~t41 D cod~r is dise~trded Oy ttle s arl code rw d-t ctor Se- ~ 11 3 3 Cisc-rrJ_all_rJaU 1 0 Wn n s t to 1 aJ d-U and Tol~-ns are rw drscuded Dy Ule sUn code C-tec~or rh;s conrinu s until a FLUSH Tolten is s~;odlec or tho regiSUr is s~t to O dir ctly Th FLUSH Tok n thU r-s-U this ~S~ is disc rded and not outpu~ Dy th- start coCe d-t ctor S- A 11 5 insen_seq~nnc~_sun 1 1 S-e ~11 7 r~
Table A.11.1 Start code detector registers (Sheet 4 o~ 5) . ~

aoi ~4egister namo 2 ~ t~on i~ o sun-coti--soarch 3 5 When t~ls regls~e Is se~to 0 ~ne start :::e rw detector opctatCs normaily ~ ~hen scl lo a ~Ighor value the sun coc~ ~icte~c:cr ~Iscar~s d~t~ un~il the sDeclfied ~YCC o~ s ar cxe ~s del ctod When the sDecifec s all code ~s detectad the regisUr is sei to C anC nc~al OD r~bon ~ollows Scc A ~1 3 StUn_coCiC_Ciot ctor_coding_sUnOiarC 2 0 Thrs ragi~tor configuras tha cooing s ar~:ar~
rw us d by the stut eode dC! C or The reg s;er c~n be lo~ciod dir ctiy or Cy usmg a CODING_STANDARD olten Whon vor the stan COd- Ce! Ctor ;erera;es a CODING_STANDARD Token (s~e ~11 7 4 it C~rries its curr codingst ndudconaqura~cn ~hisToi~enwlil tn n configwe the coding s~ncdrc us c ~y all othor pU~ o~ the d cod~r :~ip S~L Se~ A.21.1 ~nC~ 11 7 picture_numt~r ~ O E Ch bme tne stan caa :CI~c or ch~ecls a rw pieture stu~ code in~he C~ sUeam (cr~e H 261 or PEG ciuiv i~m) a PICTURE_START Tolten is qenera~eo which cUnes the curr-nt value d pinurc_num~ This rcS~;crtnen ;r~.... .~.
Table A.11.1 St-rt code deteetor ,~g:st~rs (Sheet 5 ot 5) 214~158 .

R~gist - n~ C~

- I-ng~_eount 16 0 rhi~ r 9Ut r cOrlU ns ~- cun-n~ V~lu~ of ~e ~0 - JPEG l~ng~ count. Th8 ~-gisw 5 ~ a w~ ~ corllrol ot ~- coC~ ~U c!ock ~n~
~oul~ onl~ ~ -d vi~ 01- MPI wr en a-~ stan cod- d~l~ctOr i~ stoD~

Table a. ~1 . 2 8t~rt cod- d-t-ctor t-st r-g$st-r-A 11 3 Conv-rsion of st~rt cod-s to Tolc-ns In normal operation the function of the Start Code Detector is to identify start codes in the data stream and to then convert them to the appropriate start code Token.
In the simplest case, data is supplied to the Start code Detector in a single long DATA Token. The output of the Start Code Detector is a number of shorter DATA Tokens interleaved with start code Tokens.
Alternatively, in accordance with the present invention, the input data to the Start Code Detector could be divided up into a number of shorter DATA Tokens. There is no restriction on how the coded data is divided into DATA
Tokens other than that each DATA Token must contain 8 x n bits where n is an integer.
Other Tokens can be supplied directly to the input of the Start Code Detector. In this case, the Tokens are passed through the Start Code Detector with no processing `` 262 to othe~ ~tages of the Spatial Decoder. These Tokens can only be inserted just before the location of a start code in the coded data.
A.11.3.1 Start cod- for~ats Three different start code formats are recognized by the Start Code Detector of the present invention. This is configured via the register, start code detector coding standard.

C~Cing S~ancar~ Start coae Pa~lem (h-~) Si2e ot sar~ :^Ce valu~
UP'~ OxOO OxO0 0~ alu~ a~it JPEG OxFF ~alue~ 3 ~it ' ~.261 OXOO C~ IUe~ 4 ~it Table A.11.3 Start code for~ats A.11.3.2 Start code Tok-n equivalents Having detected a start code, the Start Code Detector studies the value associated with the start code and generates an appropriate Token. In general, the Tokens are named after the relevant MPEG syntax. ~owever, one of ordinary skill in the art will appreciate that the Tokens can follow additional naming formats. The coding standard currently selected configures the relationship between start code value and the Token generated. This relationship is shown in Table A.11.4.

21451~8 .

-- . ~

S~art CoCe Value S~rt coC~ Tokon ~ener~te~ MPEG H 251 JPEG J?-5 (h~ x) (he~) (n~e PICTURE_START o~oo oxoo 0~DA S~S
SLICE_START ~ oxo~ ~O 0~01 IO 0xD0 to ~S I ~ lo 0~F 0xCC oxD7 ;tS-SEOUENCE_START o~a8 0xD8 SOI
SEaUENCE_END o~a7 0x09 EOI
GROUP_START oxas oxco SOFo~
USER_DATA oxa2 0~E0 ~o APPo ~
0xEF APP~
0xF- COM
EXTENSION_DATA o~s 0xC8 IPG
0xF0 tO J~Go 0xFD JP4 UX02 tO RES
OxE~F
0xC1 to SOF~ ~0 0xC3 SOF
OxCC DAC
DHT_MARKER oxc~ DHT
ONL_MARKER 0xDC ;~NL
DaT_MARKER 0~Da ~QT
DRI_MARKER 0xDD ~R
T~bl- A. ll. ~ Tolc-lt~ from ~t~rt cod- valu-~
a. This Token contains an 8 bit data field which is loaded with a value determined by the start code value.
b. Indicates start of baseline DCT encoded data.

A.11.~3~ Extend-d f-atur-~ of th- coding standard~
The coding standards provide a number of mechanisms to allow data to be embedded in the data stream whose use is not currently defined by the coding standard. This might be application specific "user data" that provides extra facilities for a particular manufacturer. Alternatively, it might be "extension data". The coding standards authorities reserved the right to use the extension data to add features to the coding standard in the future.
Two distinct mechanisms are employed. JPEG precedes blocks of user and extension data with marker codes.
However, H.261 inserts "extra information" indicated by an extra information bit in the coded data. MPEG can use both these techniques.
In accordance with the present invention, MPEG/JPEG
blocks of user and extension data preceded by start/marker codes can be detected by the Start Code Detector.
H.261/MPEG "extra information" is detected by the Huffman decoder of the present invention. See A.14.7, "Receiving Extra Information".
The registers, discard extension data and discard user data, allow the Start Code Detector to be configured to discard user data and extension data. If this data is not discarded at the Start Code Detector it can be accessed when it reaches the Video Demux see A.14.6, "Receiving User and Extension data".
The Spatial Decoder of the present invention supports the baseline features of JPEG. The non-baseline features of JPEG are viewed as extension data by the Spatial Decoder. So, all JPEG marker codes that precede data for non-baseline JPEG are treated as extension data.

A.11.3.~ JP~O S-bl- d-fi~it$ou-JPEG supports down loaded Huffman and quantizer tables.
In JPEG data, the definition of these tables is preceded by the marker codes DNL and DQT. The Start Code Detector generates the Tokens DHT MARXER and DQT MARKER when these marker codes are detected. These Tokens indicate to the Video Demux that the DATA Token which follows contains coded data describing Huffman or quantizer table (using the formats described in JPEG).
A.11.~ ~rror d-t-ct~on The Start Code Detector can detect certain errors in the coded data and provides some facilities to allow the decoder to recover after an error is detected (see A.11.8, "Start code searching").
A.11.4.1 Ill-g~l JPEG l-ngth count Most JPEG marker codes have a 16 bit length count field associated with them. This field indicates how much data is associated with this marker code. Length counts of 0 and 1 are illegal. An illegal length should only occur following a data error. In the present invention, this will generate an interrupt if illegal length count mask is set to 1.
Recovery from errors in JPEG data is likely to require additional application specific data due to the difficulty of searching for start codes in JPEG data (see A.11.8.1).
A.11.~.2 Ov-rlapping start/marker cod-s In the present invention, overlapping start codes should only occur following a data error. An MPEG, byte aligned, overlapping start code is illustrated in Figure 64. Here, the Start Code Detector first sees a pattern that looks like a picture start code. Next the Start Code Detector sees that this picture start code is overlapped with a group start. Accordingly, the Start Code Detector generate~ a overlapping start event. Furthermore, the Start Code Detector will generate an interrupt and stop if overlapping start mask is set to 1.
It is impossible to tell which of the two start codes is the correct one and which was caused by a data error.
However, the Start Code Detector in accordance with the present invention, discard6 the first start code and will proceed decoding the ~econd start code "a~ if it is correct" after the overlapping start--code event has been serviced. If there are a series of overlapped start codes, the Start Code Detector will discard all but the last (generating an event for each overlapping start code).
Similar errors are possible in non byte-aligned system~
(H.261 or possibly MPEG). In this case, the state of ignore non aligned must also be considered. Figure 65 illustrates an example where the first start code found is byte aligned, but it overlaps a non-aligned start code. If ignore non aligned is set to 1, then the second overlapping start code will be treated as data by the Start Code Detector and, therefore no overlapping start code event will occur. This conceals a possible data communications error. If ignore non aligned is set to 0, however the Start Code Detector will see the second, non aligned, start code and will see that it overlaps the first start code.
a. 1l. 4.3 ~nr-cognis-d start cod--The Start Code Detector can generate an interrupt whenan unrecognized start code is detected (if unrecognized start mask = 1). The value of the start code that caused this interrupt can be read from the register start value.
-The start code value OxB4 (sequence error) is used inMPEG decoder systems to indicate a channel or media error.
For example, this start code may be inserted into the data by an ECC circuit if it detects an error that it was unable 21451~8 _ to cor~e~t.
A.1~.4.4 8-qu-nc- of v-nt gen-ration In the present invention, certain coded data patterns (probably indicating an error condition) will cause more S than one of the above error conditions to occur within a short space of time. Consequently, the sequence in which the Start Code Detector examines the coded data for error conditions is:
l)Non-aligned start codes 2)Overlapping start codes 3)Unrecognized start codes Thus, if a non-aligned start code overlaps another, later, start code, the first event generated will be associated with the non-aligned start code. After this event has been serviced, the Start Code Detector's operation wïll proceed, detecting the overlapped start code a short time later.
The Start Code Detector only attempts to recognize the start code after all tests for non-aligned and overlapping start codes are complete.
A.ll.S D-co~-r ~t~rt-up ~nd s~utdown The Start Code Detector provides facilities to allow the current decoding task to be completed cleanly and for a new task to be started.
There are limitations on using these techniques with JPEG coded video as data segments can contain values that emulate marker codes (see A.11.8.1).
A.ll.S.l Cl-~n end to d-coding The Start Code Detector can be configured to generate an interrupt and stop once the data for the current picture is complete. This is done by setting stop_after picture = 1 and stop_after picture mask = 1.
once the end of a picture passes through the Start Code Detector, a FLUSH Token is generated (A.11.7.2), an in~r~upt is generated, and the Start Code Detector stops. Note that the picture just completed will be decoded in the normal way. In some applications, however, it may be appropriate to detect the FL~SH arriving at the output of the decoder chip-set as this will indicate the end of the current video sequence. For example, the display could freeze on the last picture output.
When the Start Code Detector stops, there may be data from the "old" video sequence "trapped" in user implemented buffers between the media and the decode chips. Setting the register, discard_all data, will cause the Spatial Decoder to consume and discard this data. This will continue until a FLUSH Token reaches the Start Code Detector or discard_all_data is reset via the microprocessor interface.
Having discarded any data from the "old" sequence the decoder is now ready to start work on a new sequence.
A.11.5.2 When to start di~c~rd all mod-The discard all mode will start immediately after a 1 is written into the discard_all_data register. The resultwill be unpredictable if this is done when the Start Code Detector is actively processing data.
Discard all mode can be safely initiated after any of the Start Code Detector events (non-aligned start event etc.) has generated an interrupt.
A.11.5.3 Starting a new s-guence If it is not known where the start of a new coded video sequence is within some coded data, then the start code search mechanism can be used. This discards any unwanted data that precedes the start of the sequence. See A.11.8.
A.11.5.4 Jumping b-tw--n gu-nc-s This section illustrates an application of some of the techniques described above. The objective is to ~jump~' .

from o~e~part of one coded video sequence to another. In this example, the filing system only allows access to "blocks" of data. This block structure might be derived from the sector size of a disc or a block error correction system. So, the position of entry and exit points in the coded video data may not be related to the filing system block structure.
The stop after picture and discard all data mechanisms allow unwanted data from the old video sequence to be discarded. Inserting a FLUSH Token after the end of the last filing system data block resets the discard all data mode. The start code search mode can then be used to discard any data in the next data block that precedes a suitable entry point.
a. 1l. 6 Byt- ~lignm-nt As is well known in the art, the different coding - schemes have quite different views about byte alignment of start/marker codes in the data stream.
For example, H.261 views communications as being bit serial. Thus, there is no concept of byte alignment of start codes. By setting ignore non aligned = O the Start Code Detector is able to detect start codes with any bit alignment. By setting non-aligned start mask = O, the . start code non-alignment interrupt is suppressed.
In contrast, however, JPEG was designed for a computer environment where byte alignment is guaranteed. Therefore, marker codes should only be detected when byte aligned.
When the coding standard is configured as JPEG, the register ignore non_aligned is ignored and the non-aligned start event will never be generated. However, setting ignore_non_aligned = 1 and non_aligned start mask = O is recommended to ensure compatibility with future products.
MP~G, on the other hand, was designed to meet the needs of both communications (bit serial) and computer (byte 214SlS8 orient~d; systems. Start codes in MPEG data should normally be byte aligned. However, the standard is designed to be allow bit serial searching for start codes (no MPEG bit pattern, with any bit alignment, will look S like a start code, unless it is a start code). So, an MPEG
decoder can be designed that will tolerate loss of byte alignment in serial data communications.
If a non-aligned start code is found, it will normally indicate that a communication error has previously occurred. If the error is a "bit-slip" in a bit-serial communications system, then data containing this error will have already been passed to the decoder. This error is likely to cause other errors within the decoder. However, new data arriving at the Start Code Detector can continue to be decoded after this loss of byte alignment.
By setting ignore non aligned = 0 and non_aligned start mask = 1, an interrupt can be generated if a non-aligned start code is detected. The response will depend upon the application. All subsequent start codes will be non-aligned (until byte alignment is restored).
Accordingly, setting non aligned start mask = 0 after byte alignment has been lost may be appropriate.

MPEG J~EG H.261 ignor~_non_~lign~ 0 1 0 non_~lign~_sun_n~sk 1 0 O

Table A.ll.S Configuring for byte alignm-nt .

A.1~.7 autou~tic Tok-u g-n-ratlon In the present invention, most of the Tokens output by the Start Code Detector directly reflect syntactic elements of the various picture and video coding standards. In S addition to these "natural" Tokens,some useful "invented"
Tokens are generated. Examples of these proprietary tokens are PICTURE_END and CODING STANDARD. Tokens are also introduced to remove some of the syntactic differences between the coding standards and to-~tidy up" under error conditions.
This automatic Token generation is done after the serial analysis of the coded data (see Figure 61, "The Start Code Detector~). Therefore the system responds equally to Tokens that have been supplied directly to the input of the Spatial Decoder via the Start Code Detector and to Tokens that have been generated by the Start Code Detector following the detection of start codes in the coded data.
a. 1l. 7.1 Indicating th- ud of a pictur-In general, the coding standards don't explicitly signal the end of a picture. However, the Start Code Detector ofthe present invention generates a PICTURE END Token when it detects information that indicates that the current picture has been completed.
The Tokens that cause PICTURE_END to be generated are:
SEQUENCE_START, GROUP_START, PICTURE_START, SEQUENCE END
and FLUSH.
A.11.7.2 Stop aft-r pictur- nd option If the register stop after_picture is set, then the Start Code Detector will stop after a PICTURE_END Token has passed through. However, a FLUSH Token is inserted after the PICTURE_END to "push" the tail end of the coded data through the decoder and to reset the system. See A.11.5.1.

A.ll.f:s Introducing s-gu-nce st~rt for H.261 H.261 does not have a syntactic element equivalent to sequence start (see Table A.11.4). If the register insert_sequence start is set, then the Start Code Detector will ensure that there is one SEQUENCE_START Token before the next PICTURE_START, i.e., if the Start Code Detector does not see a SEQUENCE START before a PICTURE START, one will be introduced. No SEQUENCE START will be introduced if one is already present.
This functlon should not be used with MPEG or JPEG.
A.11.7.~ 8-tting coding st~n~rd for ~cb s-qu-nc-All SEQUENCE_START Tokens leaving the Start Code Detector are always preceded by a CODING STANDARD Token.
This Token is loaded with the Start Code Detector's current coding standard. This sets the coding standard for the entire decoder chip set for each new video sequence.
A.11.8 Start code ~rching The Start Code Detector in accordance with the invention, can be used to search through a coded data stream for a specified type of start code. This allows the decoder to re-commence decoding from a specified level within the syntax of some coded data (after discarding any data that precedes it). Applications for this include:
start-up of a decoder after jumping into a coded data file at an unknown position (e.g., random accessing).
to seek to a known point in the data to assist recovery after a data error.
For example, Table A.11.6 shows the MPEG start codes searched, for different configurations of start_code_search. The equivalent H.261 and JPEG
start/marker codes can be seen in Table A.11.4.

214~1S8 ~; SUr~-co~-s~rcll l Stan COae5 sea, c~e~ !o~
0 ~ lor--.al e~eratlo~
' ~eserve~ (W~ enave ~s clscar~ cala 3 s Cu~c~ sUrt ~un coc- ~-ch Sl~nco~ ~ c ~ot 4 groUo or s~uenc~ st~n 5 ~ D~-Ur-. group Or S~CU~C~ sun 6 ~ cnJre~ 9rouD 0~ st~n 7 ~r~ n~n s~r~ a rrurlt~ cod~

T~bl- a. 1l. 6 8t~rt cod- ~-~rc~ mod-s a. A FLUSH Token places the Start Code Detector in this search mode.
b. This is the default mode after reset.

When a non-zero value is written into the start_code search register, the Start Code Detector will start to discard all incoming data until the specified start code is detected. The start code search register will then reset to O and normal operation will continue.
The start code search will start immediately after a non-zero value is written into the start code search register. The result will be unpredictable if this is done when the Start Code Detector is actively processing data.
So, before initiating a start code search, the Start Code Detector should be stopped so no data is being processed.
The Start Code Detector is always in this condition if any of the Start Code Detector events (non-aligned start event etc.) has just generated an interrupt.
A. 11. ~ .1 Limitations on using start cod- search with JPEG

_ Mos~J~PEG marker codes have a 16 bit length count field associated with them. This field indicates the length of a data segment associated with the marker code. This segment may contain values that emulate marker codes. In normal operation, the Start Code Detector doesn't look for start codes in these segments of data.
If a random access into some JPEG coded data "lands" in such a segment, the start code search mechanism cannot be used reliably. In general, JPEG coded video will reguire additional external information to identify entry points for random access.

SECTl~ A.12 Decoder start-up control A.12.1 Ov-rvi-w of d-cod-r st~rt-up In a decoder, video display will normally be delayed a short time after coded data is first available. During this delay, coded data accumulates in the buffers in the decoder. This pre-filling of the buffers ensures that the buffers never empty during decoding and, this, therefore ensures that the decoder is able to decode new pictures at regular intervals.
lo Generally, two facilities are required to correctly start-up a decoder. First, there must be a mechanism to measure how much data has been provided to the decoder.
Second, there must be a mechanism to prevent the display of a new video stream. The Spatial Decoder of the invention provides a bit counter near its input to measure how much data has arrived and an output gate near its output to prevent the start of new video stream being output.
There are three levels of complexity for the control of these facilities:
Output gate always open Basic control Advanced control With the output gate always open, picture output will start as soon as possible after coded data starts to arrive at the decoder. This is appropriate for still picture decoding or where display is being delayed by some other mechanism.
The difference between basic and advanced control relates to how many short video streams can be accommodated 70 in the decoder's buffers at any time. Basic control is sufficient for most applications. However, advanced control allows user software to help the decoder manage the start-up of several very short video streams.

.

A.12.2~ ~PEG vid-o buff-r v-rifi-r MPEG describes a "video buffer verifier" (VBV) for constant data rate systems. Using the VBV information allows the decoder to pre-fill its buffers before it starts to display pictures. Again, this pre-filling ensures that the decoder's buffers never empty during decoding.
In summary, each MPEG picture carries a vbv delay parameter. This parameter specifies how long the coded data buffer of an "ideal decoder" should fill with coded data before the first picture is decoded. Having observed the start-up delay for the first picture, the requirements of all subsequent pictures will be met automatically.
MPEG, therefore, specifies the start-up requirements as a delay. However, in a constant bit rate system this delay can readily be converted to a bit count. This is the basis on which the start-up control of the Spatial Decoder of the present invention operates.
A.12.3 D-finition of a str-am In this application, the term stream is used to avoid confusion with the MPEG term se~uence. Stream therefore means a quantity of video data that is "interesting" to an application. Hence, a stream could be many MPEG sequences or it could be a single picture.
The decoder start-up facilities described in this chapter relate to meeting the VBV requirements of the first picture in a stream. The requirements of subsequent pictures in that stream are met automatically.

21~5158 A.~,2.4 Start-up control r-gi~tt-r~

R~gis;er name g ~ Cesc n Don ~ ', sUrtup_acce~s 1 O wnt~ng 1 to t~ls res~ster re~ ues s ;ra th b-l CEO_QS_ACCESS rw eoumer anC 9a e oper lng log~c S~cD to a~ow ~cce~ tO tt~ir confi9uraDon rqislers.
Dit_count 8 0 This oit countem5 r. . ar ~ ~ Y c~r!e~ ~a rw l~vu tho StUt cod~ C~t clor rhe r~Lmt er CE3_3S_COUtvr bit_coun~_prescale 3 0 bits r~uired to increTent bit_count c~ce s v~ ~pp~ox 2~t~---ur~ x 5~ 2 CEO_9S_PRESCALE
Tho bit Cwnter star~ counling Olts a~er a fLUSH Token pO55-S tnrouçn he Dlt courtet.
It is r~t tO z ro and Ih-n stopS n.r~ er ~3 a~t r thO bit count arget hy De n mel bis_count_urg-t 8 x rnU r-git ter sp ofies ttle Oit count1arçet A
rw tYget met vent is cenerated wrenever ~re CE~_95_TAI~GET
lotlowing condioon t~comestrue Lit_count ~- bit_count_ta get Urget_m t_ev-nt 1 0 Wtlen the blt counl target is me~th~s even~
rv~ D- gen-rat~ ll tlle mask registems seHo 9s-TARGET-~Er-EvENr Urg-t_rn t_ma-k 1 0 th-n aninterruo~can Oe generate~ koweven nw the bit counter w~ OT stop orocess;rg lata rhiS event wlll occur when the Dlt counler ; ~c ~t~ to its target It will also oc:ur ~ a Ur9et value i5 wrltten which is le55 t~an ~r ettu l to ~he current value ol t~e Ic t ccu~er Writtng û to Oit_count_l-rg-t wJ al ays gener~tte a t rçet rnel ever~
Table A.12.1 Decoder start-up registers 21451~8 R-~gisur name ~ tir counter_~lu~n-C_-vent l 0 Wnen a fLUSH To ~en p~s ~rcugn ~ne 5S_~LUS/t_c--VENT r~v counl CirCuit tnis vent ~ll occur It the mask count-r_tlusn-d_m~ 1 o r-qi5t-r ~s #t to 1 th n ~n intenu~t can ~e rw g~ratod snd th- Dit counl-r will SICD
count~r_tlusn~C_too_~-r~ v~nt 1 0 ll ~ FLUSH Tok~n D~SS~S tnrOU9h ':U Dlt cls-FLus~l-5E~oRE-r~RGET-MEr-EvENr n~ count C~Pt and Ih~ t~nt counl Urg-~ ha5 not counter_~lushed_too_ uty_mask 1 0 O- n m t this sv-nt ~ill occw It th- m~s~
rw r~t r is s t to 1 th n an inlenu~t can t~e g-n ra~ nd tll t~n counter will StOD
5~- A 12 10 oncnip_qu-u- ~ 0 5-ttdng tllis r-(gis~ r to 1 con~igur st~ ate CEO_5S_OUEUE w op ning b~gic to r~uire _ ~p -- -support Wh n ~i5 ~-sis~ r is se~ to 0 ~e out;ut pl- control IrJgic wiU utom ~ ~y ccntrol the op r~oon of th output g~e 5- s ctwns A 12 6 and A 12 7 enaDle_str-~m 1 Wh-n ~ on chip Cu-ue u in use wmlnS o rw en-Dle sue m controls ttle tYe~a~Ow ol the CE~_BS_~wA~LE-~ sTM
output gUs att-r the enC ot a stre~m ~asses throug~t it A on- m t~ reglstu ena~l s t~- cutFI.~ gate lo op n Th- r~ster will De r~ wnen an acc-pt enat~l- inlenu~ is genera -C
Table A.12.1 Decoder statrt-up .e~istcrs (contd) f~gi t r n~ ~ / D

accepl_ n~h_ v nt 1 0 Tll~ v nt~lcatcslhata FLUSH Toltcn nas ~S_STI:(f~M_ENO_EVENT rw 5~ C ~rougn the outWt gU~ (causing ~t to accapt_enat~h_m-st~ 1 0 ctos l anC tt U an n~t~le waS ~valla~lc lo allow rw tn- gate to ooen It tn- mask r gls~er is sct to 1 then an 1~3erru t can oe gcnerUcG anC t~e rcgister enahh_stream wlll ~e reS~t Sce A 12 S 1 ~abl- A 12 1 D-cod-r start-up r-gist-rs (contd) .

A.12.5 Output gat- alw~y~ op-n The output gate can be configured to remain open. This configuration is appropriate where still pictures are being decoded, or when some other mechanism is available to manage the start-up of the video decoder.
The following configurations are required after reset (having gained access to the start-up control logic by writing 1 to startup access):
set offchip queue = 1 set enable stream = 1 ensure that all the decoder start-up event mask registers are set to 0 disabling their interrupts (this is the default state after reset).
(See A.12.7.1 for an explanation of why this holds the output gate open.) A.12.6 Basic operation In the present invention, basic control of the start-up logic is sufficient for the majority of MPEG video applications. In this mode, the bit counter communicates directly with the output gate. The output gate will close automatically as the end of a video stream passes through it as indicated by a FLUSH Token. The gate will remain closed until an enable is provided by the bit counter circuitry when a stream has attained its start-up bit count.
The following configurations are required after reset (having gained access to the start-up control logic by writing 1 to startup access):
set bit count prescale approximately for the expected range of coded data rates set counter flushed too early mask = 1 to enable this error condition to be detected Two interrupt service routines are required:
Video Demux service to obtain the value of ~ 21451~8 ~b~_delay for the first picture in each new stream Counter flushed too early service to react to this condition The video demux (also known as the video parser) can generate an interrupt when it decodes the vbv_delay for a new video stream (i.e., the first picture to arrive at the video demux after a FLUSH). The interrupt service routine should compute an appropriate value for bit_count target and write it. When the bit counter reaches this target, it will insert an enable into a short queue between the bit counter and the output gate. When the output gate opens it removes an enable from this queue.

21451~8 A.12.~ t~rt$~g u-~ Jtr-~m hortly ~ft-r ~oth-r f~ h--As an example, the MPEG stream which is about to finishis called A and the MPEG stream about to start is called B.
A FLUSH Token should be inserted after the end of A. This pushes the last of its coded data through the decoder and alerts the various sections of the decoder to expect a new stream.
Normally, the bit counter will hava reset to zero, A
having already met its start-up conditions. After the FLUSH, the bit counter will start counting the bits in stream B. When the Video Demux has decoded the vbv_delay from the first picture in stream B, an interrupt will be-generated allowing the bit counter to be configured.
As the FLUSH marking the end of stream A passes through the output gate, the gate will close. The gate will remain closed until B meets its start-up conditions. Depending on a number of factors such as: the start-up delay for stream B and the depth of the buffers, it is possible that B will have already met its start-up conditions when the output gate closes. In this case, there will be an enable waiting in the queue and the output gate will immediately open.
Otherwise, stream B will have to wait until it meets its start-up requirements.
A.12.6.2 A succ-ssion of sbort stre~ms The capacity of the queue located between the bit counter and the output gate is sufficient to allow 3 separate video streams to have met their start-up conditions and to be waiting for a previous stream to finish being decoded. In the present invention, this situation will only occur if very short streams are beinq decoded or if the off-chip buffers are very large as compared to the picture format being decoded).
In Figure 69 stream A is being decoded and the outpu~ g~te is open). Streams B and C have met their start-up conditions and are entirely contained within the buffers managed by the Spatial Decoder. Stream D is still arriving at the input of the Spatial Decoder.
Enables for streams B and C are in the queue. So, when stream A is completed B will be able to start immediately.
Similarly C can follow immediately behind B.
If A is still passing through the output gate when D
meets its start-up target an enable will be added to the queue, filling the queue. If no enables have been removed from the queue by the time the end of D passes the bit counter (i.e., A is still passing through the output gate) no new stream will be able to start through the bit counter. Therefore, coded data will be held up at the input until A completes and an enable is removed from the queue as the output gate is opened to allow B to pass through.
A.12.7 Advanced operation In accordance with the present invention, advanced control of the start-up logic allows user software to infinitely extend the length of the enable queue described in A.12.6, "Basic operation". This level of control will only be required where the video decoder must accommodate a series of short video streams longer than that described in A.12.6.2, "A succession of short streams".
In addition to the configuration required for Basic operation of the system, the following configurations are required after reset (having gained access to the start-up control logic by writing 1 to start_up access):
set offchip_queue = 1 set accept_enable_mask = 1 to enable interrupts when an enable has been removed from the queue set target_met mask = 1 to enable interrupts when a stream's bit count target is met Two additional interrupt service routines are required:
accept enable interrupt Target met interrupt When a target met interrupt occurs, the service routine should add an enable to its off-chip enable queue.
A.12.7.1 Output gate logic behavior Writing a 1 to the enable_stream register loads an enable into a short queue.
When a FLUSH (marking the end of a stream) passes through the output gate the gate will close. If there is an enable available at the end of the queue, the gate will open and generate an accept enable event. If accept enable_mask is set to one, an interrupt can be generated and an enable is removed from the end of the queue (the register enable stream is reset).
However, if accept enable mask is set to zero, no interrupt is generated following the accept enable event and the enable is NOT removed from the end of the queue.
This mechanism can be used to keep the output gate open as described in A.12.5.
A.12.8 Bit counting The bit counter starts counting after a FLUSH Token passes through it. This FLUSH Token indicates the end of the current video stream. In this regard, the bit counter continues counting until it meets the bit count target set in the bit_count_target register. A target met event is then generated and the bit counter resets to zero and waits for the next FLUSH Token.
The bit counter will also stop incrementing when it reaches it maximum count (255).
A.12.9 Bit count prescale In the present invention, 2~ nl-Prc~ x 512 bits are .

requir~ to increment the bit counter once. Furthermore, bit count prescale is a 3 bit register than can hold a value between O and 7.

n Range (bits) n~ II`t ~ ~bits) 0 0 to 2621 1024 0 to 524288 2048 7 0 to 31457280 122880 Tabl- A.12.2 Ex~mpl- bit counter rang-s The bit count is approximate, as some elements of the video stream will already have been Tokenized (e.g., the start codes) and, therefore includes non-data Tokens.
A.~2.10 Count-r flush-d too arly If a FLUSH token arrives at the bit counter before the bit count target is attained, an event is generated which can cause an interrupt (if counter flushed too_early_mask =
1). If the interrupt is generated, then the bit counter circuit will stop, preventing further data input. It is the responsibility of the user's software to decide when to open the output gate after this event has occurred. The output gate can be made to open by writing O as the bit count target. These circumstances should only arise when trying to decode video streams that last only a few pictures.

.

SECTI~ A.13 Buffer Management The Spatial Decoder manages two logical data buffers:
the coded data buffer (CDB) and the Token buffer (TB).
The CDB buffers coded data between the Start Code Detector and the input of the Huffman decoder. This provides buffering for low data rate coded video data. The TB buffers data between the output of the Huffman decoder and the input of the spatial video decoding circuits (inverse modeler, quantizer and DCT). This second logical buffer allows processing time to include a spread so as to accommodate processing pictures having varying amounts of data.
Both buffers are physically held in a single off-chip DRAM array. The addresses for these buffers are generated by the buffer manager.
A.13.1 Buffer manager regist-rs The Spatial Decoder buffer manager is intended to be configured once immediately after the device is reset. In normal operation, there is no requirement to reconfigure the buffer manager.
After reset is removed from the Spatial Decoder, the buffer manager is halted (with its access register, buffer_manager access, set to 1) awaiting configuration.
. After the registers have been configured, buffer_manager_access can be set to O and decoding can commence.
Most of the registers used in the buffer manager cannot be accessed reliably while the buffer manager is operating.
Before any of the buffer manager registers are accessed buffer_manager_access must be set to 1. This makes it essential to observe the protocol of waiting until the value 1 can be read from buffer_manager_access. The time taken to obtain and release access should be taken into 219t5158 -consider~tion when polling such registers as cdb full and cdb_empty to monitor buffer conditions.

Regisler name ~ ' Descrivtion but~er_rnt nag-r_acc-ss 1 1 This acceSs Dn stops Ihe operao: n -! ~ e Duner marager so tnat :-5 rw various registers can ~e accessed reliably See A 6 4 1 Note Ihis acc ss register is unusual as its de~ault state aner reset s I e~ a~ter reset the buner manager is t alted awalting corlrlgwa~-n via the r~ il r v, inl~ace D
~egister nam- ~ tD

buner-manager-keyhole-~ddress 6 x ~eyhde access to Ih- extended adcress svac- used !cr ~e CL er rw rnanager registers sho~n below See A 6 4 3 O~ more butter_man~g-r_keyt~ol-_d~u e x i.. t~ bout~cc ssing recisters th ousn a keyhoie rw buner-limlt 18 x ~his sp cifies tne overall slze r~he ~ ~; ar~ 1ttacnec o ne r~ Spatial Decoder All buner addresses are ; c~ e5 ~.'C~ S ~UUe' siZe and so will wraD round within the ~ tovided ~db_bas- 18 ~I These r-gist rs point to the base ol the ~ o ~ata (ccb) and Tc~en tb-bJse rw (tb) butt rs cdb_l-ngth 18 x These ~ !; specit)t the lenS n (i e slze~ ol ~ne codec Jata icC~!
tb_length ~v~ andTo~r (tb) buners cdb_read ~ 8 x Th~se r~t~s hdd an onSet trom the buf - ase anc inclcate tD_ ead ~o where dat t -~1 be read trom next Cdb_number 18 x These ~- stersshowhowmucndau~ entlyheldlntne~LUes tb_number ~0 ccn_~ull t x The ~ ~ters will be set to ' i' tn~ sd cala (cc~) cr ~~e- ::
tb_tull ~o bu~-~
cct~_empry 1 ( ~eRe e~lsters will be sel lo 1 i~ t e cec lata (cc~ or rcll'er~ i~
tt~_empty ~0 t~; re!r empties Table A.13.1 Bufter manager registers (cortd) 2 1 ~ 5 1 5 8 A.13.~ 1-Buffer man~g-r pointer v~lues Typically, data is transferred between the Spatial Decoder and the off_chlp DRAM in 64 byte bursts (using the DRAM's fast page mode). All the buffer pointers and length registers refer to these 64 byte (512 bit) blocks of data.
So, the buffer manager's 18 bit registers describe a 256 k block linear address space (i.e., 128 Mb).
The 64 byte transfer is independent of the width (8, 16 or 32 bits) of the DRAM interface.
A.13.2 Use of the buffer m~n~ger registers The Spatial Decoder buffer manager has two sets of registers that define two similar buffers. The buffer limit register (buffer limit) defines the physical upper limit of the memory space. All addresses are calculated modulo this number.
Within the limits of the available memory, the extent of each buffer is defined by two registers: the buffer base (cdb_base and tb_base) and the buffer length (cdb_length and tb_length). All the registers described thus far must be configured before the buffers can be used.
The current status of each buffer is visible in 4 registers. The buffer read register (cdb_read and tb_read) indicates an offset from the buffer base from which data will be read next. The buffer number registers (cdb number and tb_number) indicate the amount of data currently held by buffers. The status bits cdb_full, tb_full, cdb_empty and tb_empty indicate if the buffers are full or empty.
As stated in A.13.1.1, the unit for all the above mentioned registers is a 512 bit block of data.
Accordingly, the value read from cdb_number should be multiplied by 512 to obtain the number of bits in the coded data buffer.
A.13.3 Zero buffers Still picture applications (e.g., using JPEG) that do 21~51~8 .

not have a "real-time" requirement will not need the large off-chip buffers supported by the buffer manager. In this case, the DRAM interface can be configured (by writing 1 to the zero_buffers register) to ignore the buffer manager to provide a 128 bit stream on-chip FIFO for the coded data buffer and the Token buffers.
The zero buffers option may also be appropriate for applications which operate working at low data rates and with small picture formats.
Note: the zero_buffers register is part of the DRAM
interface and, therefore, should be set only during the post-reset configuration of the DRAM interface.
A.13.4 Buffer operation The data transfer through the buffers is controlled by a handshake Protocol. Hence, it is guaranteed that no data errors will occur if the buffer fills or empties. If a buffer is filled, then the circuits trying to send data to the buffer will be halted until there is space in the buffer. If a buffer continues to be full, more processing stages "up steam" of the buffer will halt until the Spatial Decoder is unable to accept data on its input port.
Similarly, if a buffer empties, then the circuits trying to remove data from the buffer will halt until data is available.
As described in A.13.2, the position and size of the coded data and Token buffer are specified by the buffer base and length registers. The user is responsible for configuring these registers and for ensuring that there is no conflict in memory usage between the two buffers.

., SECT~ A.14 Video Demux The Video Demux or Video parser as it is also called, completes the task of converting coded data into Tokens started by the Start Code Detector. There are four main processing blocks in the Video Demux: Parser State Machine, Huffman decoder (including an ITOD), Macroblock counter and ALU.
The Parser or state machine follows the syntax of the coded video data and instructs the other units. The Huffman decoder converts variable length coded (VLC) data into integers. The Macroblock counter keeps track of which section of a picture is being decoded. The ALU performs the necessary arithmetic calculations.
A.14.1 Vid-o D~mux r-gisters i Regis er name g n D ;~ti o ui t~
demux_access 1 0 This acc ss hit stops th- op raoon ol the Vd-o Demux so ~na~ ~t s CEO_tl_CrRLl7] rw v rious r gist rs c n ba acc~C r-liably 5~e A 6 4 ~
huttman_ rror_code 3 When th- Vd o D-rnux Slop5 tollowing the gr ne ation oi a CEO_H_CrRL~6~] ro huttman_~cntintemJptraqu s~this8bitregisterholdsaval~einrlicating why th- int-rrupt was 91 ~ S-e A 14 5 1 parser_error_code 8 When th- Vdeo D-rnux stops tollowing tlle generation ol a caner_even C~O_H_OMUX_ ~RR ~ interrUpt re~Usst this 8 bit reCiS~er holds a value indicating w~y ~e internJpt was 9 1 S- A t4 5 2 demux_keyhole_address 12 Y Keyhole cc~ to the Vdco Damuxs extenCea aCdress scace See Cc!:_H t~EyHoLE-AoDR rw A643 tormoreirlc ~aboutaccessin9re9lster demu~_k~yhole_daU 8 x through a k~yhol~
5 cEo-H-~rEyHoLE rv Tablss A 14 2 A 14 3 and A 14 4 describe~e reristerS~st ca te ~cc~ssed via th~ keyhob Table A.14.1 Top level Video Demux registers 21~51~8 ~e~ tl rn ~ D ~ ~v~ion dummy_last_picture 1 0 When t~is reglstems set to 1 the Vldeo Oemux ~11 gonera,e Inlormatlon C~3-H-ALu-RE53 rw tor a ~dummy Intra plcture as the la5t plcture ol an MPEG se~uence r rom_control This ~unction is use~ul when the Temporal DecoCer is configured lor automatic pictur- re-ordering (see A 19 3 5, Pic~ure sequence re r_dummy_las~tame_oit ordering' to nush the lasl P or I picture out o~ the ,emporal D~coae~
No 'dummy picture is r~guired it the Temporal Decoder is not configured ~or re-ordenng another MPEG sequence will be C coCed """,~Ji t.ly (as trlls wlll also nush out the Iasl picture) the coding standard is not MPEG
li-ld_into 1 0 When this register is set to 1 ~he first ~yte ot any t~lpEG
CE~-H-ALu-REGo rw extra_;"~, " ~ pictureisplacedinthe FIELD_INFO Token See A.14.7.1 r_ron7_control r_fielO_in~o_oit continue 1 0 This register allows user sottware to control how much extra user or CED-H-ALu-REGo rw extension data it wants to r ceive when is it is Cetected by the decocer r_rom_control See A 14 6 and A 14 7 r_continus_oit rom_revision a Ill""Ldiately lollowing reSet this holas a CODy ol ~.ne micrococe ~iCM
CEL)-H-ALu-REG1 ro reviSion number r_rom_revlsion This regiSter is also use~ to present to control sottware data va~ues real Irom tne coded data See A 14 6 ~Receiving U#r and E~tension d~ata and A 14 7 ~eceiving E~ra l,~",.~bon~
Table A.14.1 Top level Video Demux registers (contd) ~9~ 21~5158 i Register name ~ ~ D ~ ~Jtio n t~i C
hut~man_eveot 1 0 ~ Hutfman event is gen-ralr~ it an error is 'ound in the coCed v~al~a See rw A~45t ~ora~ tl~no~theseevents hutim~n_mask 1 0 ll the mask r~istsr is set to 1 th-n an interrupt can be generatec anC lhe rw Vldeo D-mux will Stop l~ th- m sk r-gist-r is sel to 0 then no interru~t s g-n-rat-d and tha Vld o D-mux ~vill an-mp~ o reeover Iror~ ~ne e~r~t parser_even~ 1 0 A Pars r even~ can oe in responce ~o rrors In the coce5 ~a~a cr 1O l~e rw arrival ot; ~c at the Vldeo Dcmux that recuires so~are puser_mask 1 0 ; ~. n Se- A 14 52 ~or a C~ ~nption ol t~ese evems l~ ~h- mask re9ist r is s~t to 1 than n int~rupt can t~e generateC anC ~e Vld o Demux will stop l~ th- mask r gist r is s-~ ~o O ~nen no interruol Is 9en ra~ed and t~ ~Id o D nux will an mDt to continue Table A.l~.l Toe l-v-l Vid-o D-mux r-gi5tt-rst (contd) -R-gis~er name ~ ~li r il, c_ onYr~_n-m-_o ~ x Dunng JPEG op raoon the regrster ~ F 1 t_name_n holds an 8 cn value c~ 1 _name_1 rw indicating (to an ~) which colour cr ~n~ t has the cun p4ncnl ID n Wl~ ~t_nam-_2 :c ~onc ~t_n-m-_3 horr~_pels 16 x These reqisl-rs hold the horizontal and vertlcal ~J _n ons o~ the viGrO De~ng rw decoded in pixels ven~el~ 1 C x S-e section A 14 2 rw hori2_ ~c Jtl~ 16 x These r-qislers nold the hori~onUI and v-rtical din ~lor~c ol tne v~Gr~ote;rs rw decoded in I I ~ .CI obl~
ven_~ oblcc~s 16 x See seclion A1~ 2 rw Table A.~4.2 video demux picture construction regist-rs 21451~8 --~, 3 Reglsler name ~ _ Descripbon ~ C
max_h 2 x rnese reglsters hold Ihe n~,ovl~k ~dth and helght In bloc~s (8 x 3 p~els~
rw The vatu s O to 3 indicate a ~ ~ ~ gl l ot 1 to 4 blocks max v 2 x See section A 14 2 rv~
max_co ponchl_id 2 x ~he values 0 to 3 inCicate tnal 1 lO 4 ditterenl video co i;~onenI, a e _r eA Iy rw being decoded See secoon A 14 2 Nt 8 x Durin$i JPEG op~rabon this r~gister holds Lhe parame~e Nt (nurn~er o~ image rw Cv ~or_ ~. in trur~e~
blocks_h_0 2 x for each ol tbe 4 cobur co ;~u b Ihe reglsters hiocks_h_n anC
blocks_h_1 rw blocks_v_n hold th0 number ot blocks ho ~ n- y and vertically In a blocks_h_2 IIN vbi~k tor the colow Co ~r ,I wjth ov ponoh~ ID n blocks_h_3 5-e s ction A 14 blocks_v_0 2 x blocks_v_1 rw blocks_v_2 blocks_v_3 'Q 2 x rhe t~vo Dit value h td ùy the register tq_n descnt~es whicn Inverse tQ1 rw Ouantisation tat~l- is to t~ used when d coding data with cr,"~nr~,lt ID A.
tQ2 T~ble A. 1~ . 2 Video demux picture consttruction r-gister~ ~contd) -A.1~.1.1~ Regist-r loading and ~oken g-neration Many of the registers in the Video Demux hold values that relate directly to parameters normally communicated in the coded picture/video data. For example, the horiz pels register corresponds to the MPEG sequence header information, horizontal size, and the JPEG frame header parameter, X. These registers are loaded by the Video Demux when the appropriate coded data is decoded. These registers are also associated with a Token. For example, the register, horiz_pels, is associated with Token, HORIZONTAL SIZE. The Token is generated by the Video Demux when (or soon after) the coded data is decoded. The Token can also be supplied directly to the input of the Spatial Decoder. In this case, the value carried by the Token will configure the Video Demux register associated with it.

-.
Registo r~arse ~ ,,ton r'n t~o OC_hut~_o 2 rhe t~vo bit valu- nela by the register dc_huti_n aescrlDes wh~cn Hu~man dc_hutt_1 rw d coding table is to be used wh-n Cecocing the DC coe~cients ol c'ata weh dc_hun_2 cc yonont IC n dc_hu~t_3 Similarly ~c_hu~t_n descnbes Ihe table to be used wnen CecocuS AC
ac_hun_0 2 ~O~r- ~u.
ac_huff_1 rv~ ~
Baseline JPEG requires UD tO tv~o Hunman tables per scan ~he on y ~ab!es ac_~ut~_2 O ~ ~ are 0 and 1 ~c_huti_3 dc_bits_0~15 0~ 8 E~ch o~ thesrl is ~ tabl- oi 16 ~igtlt bil v~lurds~ rhey Crovlae the 511 S
dc_bits_1~15 0~ rv~ ; 'r~ #e JPEG Huffman table ~ ) which iorm pan cl the ac_bits_0~15 0~ 8 t~ oi two DC and two AC Hunman tables ac_bits_l~15 0] rw S-e section A 14 31 dc-nut~val-o~ll 0~ 8 Each oi the# is ~ table o~ 12 ~ight brl vatu~s T~tey provide the HUFrVAL
dc_hutval_1[11 0~ rw ; t~ 'h (se-JPEGHuffmantabl- ~ '-- al)whichtormpar ottle d~ ti~ oi t~vo DC Hunman tables S- s cbon A 14 3 1 hutrval-o~l6l l 8 Each ot th s~ is a tabl- ol 162 eighl bit values Th~ provide the HUr-VAL
ac-humral-1~l6l 0~ w a ~r~ (se- JPEG Huffman table ~ irc~;o ) which torm pan ol the d ~t ' ) o~ two AC Hu~iman tabl s S-- section A 14 3 1 rJC_~5555_0 8 Thes- 8 bil registers hold v~ues that are 'sp clal casecP to accelera~e ;~e dc_~ssss_1 rw r~ecoding o~ certain ir-r~uently used JPEG VLCs ac_eot~_0 8 dc_ssss - magnitude ol DC coefficient is 0 ac_eob_1 rw ac_-ob - end ot block ac_2rt_0 8 ac_2rl - run ol 16 zeros ac_~rl_1 rw Table A. 1~ . 3 Vid-o demux Huf fm~n t~ble regi~ters 21~5I58 C r~
Regist-r name~ Descnpbon ~ r~
ouner-siz- 10 This register is loaced when aecodlng MPEG Cata wlth a value Inc ca~ng ~e rw size ot VEIV buner r quired in an ideV decoder This value is nol used by thc decoder chlps However the valLe it holCs ma;
b- us-tul to us r so~tware when configuring th- coCed Cata cu~er s~ze anC o detemin- wheth~r th- decoder is capable ot decoding a particular ~l~-- Cz a file pel_aspect 4 This register is loaded when decoding MpEG data wltrl a value InClcaî - ~e rw pel aspect rabo Th- value is a 4 Oit integer that is us d as an inde~ inîo a taOle defined by MPEG.
See th- MPEG slandard ~or a d finition ot this UOle This valu~ is not us d by th~ d codu chips How~ver th~ value a holCs may Oe usehJI to user soitwarc when configuring a display or output dev ce bit_rate 18 This register is baded when d coding MpEG data with a value inC ca -g -e rw codec data rate See thc MPEG standard tor a definition ot this value This valu- is not us d by the d coder chips How-vcr the value it ~olCs may b- usdul to user sottwan when config g the d cocer star1 up re~is e s pic_rate 4 This ngister is b-ded when d coding MpEG data Wlth a value incica;ing ~e rw p*turc rate See th- MPEG standard tor a definiOon ot this value This valuc is not us d by the d coder chips Howcvcr th- value il ~olcs ray be usctul to user sPtrw r- wh-n configuring a disPlay or outpul Cevice cor l 1 e d 1 This register is loaded wh-n C corting MPEG data to indicate it the cxec ~ab rv~ rxets MFEG scr",~ oC p~ t~
See th- MpEG standard tor a d-finibon ot thls nag This value is not used by the oecoCer chlps. However ~e value ~ s rr~ay ~e usetul ~o uscr sottware to aetemlne wherner me decocer is caF~lle ~r doding a particular MPEG Cata ~lle Table A.14.4 Other Video Demux registers 214515~

Register nam- g rn C ~ , ~X -u.i C
picture_typ- 2 During MPEG op raoon this reg5ter holds the plctwe type o~ t~e ~ic ure ~elng nv d coded h_261_pic_typ- 8 This register is loaded when Cecoding H 261 data It holds ,r,l~," ~on abou~
rw the picture lormat 17161sl4131211101 ,1 ¦ ~ ¦ r ¦ s ¦ d ¦ I ¦
Flags s - Split Screen Indicator d - Document Camera t Free~e ~icture Release This valu- is nol used by the d coder chips However, the ir,lo " ,ation should be us d when configunng hori~_p ls, wrt_p Is anC the display or cutput d vice broken_closed 2 During MPE~i operaUon this register holds the Droken_link ano c~osed_~op rw i"l~.", , lor the group ol pictures b-ing decoded 1 7 1 6 1 5 1 4 1 3 1 2 1 1 1 o IrIrIrIrIrIrIcIt~I
Flacs:
C - closed5op T~ble A.14.4 Other Video Demux r-gisters (contd) 21451~8 .
Rogist-r nam ~ ~ C~ Y~ ~

preclction_mode S Durmg MpEG ana H 2610p-rallon lhls reglster rlolds t~- current va~ue ol rw pr diction mode 1716151413121 1 ~ol ¦ r ¦ r ¦ r ¦ h ¦ y ¦ x ¦ b ¦ ~ ¦
Flags h - enable H 261 loop filter y re~ b ckwud vector pr~diction v~v_~lay 16 This register is toaded when d coding MpEG data wlth a value inaicating the rw minimum stan up d-by bdore d coding should stan S-- th- MPEG standard ~or a d-finition ol this value This value is not us d by the d coder chips How ver the value it holds may b us-tul to us r Som~re wh n eonfiguring the decoder stan up r-gisters pic_numb-r ~ This regislu holds lhe picture num~r lor the pictures that is currently being rw d cod d by th- Vd o D~L This number was generat-d by the start coCe det ctor when this picture uriv-d there See Table A 112 ~or a d scripOon o~ the picture numDer dummy_bst_plcture 1 0 Th~ regist rs ue also visble at the top l-vel S-e Table A14 1 rw fi-ld_lnio 1 O
rw continu- 1 O
r~v rom_revision e ,w coding_sundard 2 This register is loaded by th- CODING_STANDARD oken 1~ c^r~ur~
ro the Vdeo ~emux s mode ol operaoon S-e sectlon A 21 1 Table A.14.4 Other Video Demux registers (contd) Regist r nam~

rosurt_lnUfval 6 This register is baded v~hen aecoclno JPEG data w~trl a vaiue InCica~lng ~re r~ minimum stan up delay beiore decooing should star~
See t~e MPEG standYd ior a definiion d this value T~ble A 1~ ~ Other Video D-mux r-gi~t-r~ ~contd) register Token st~ndud commer~
co ~ ,on t_name_n COMPONENT_NAME . JPEG in coaed data MPEG not uS-d in standart~

hori2_pels HORIZONTAL_SIZE MpEG in coded data v~n_pels VERTICAL_SIZE JPEG
H 261 auto", ? ly derived from p~ccJre type hori2_ .~ :_ HORIZONTAL_M8S MPEG control so~twtare must ~erive Irom ven_, ~ VERTICAL_MBS JPEG honzontal and vcftical plcture size H 261 auto", ?'ly derrved trsm picture type ma~t_h DEEIN_MAX_SAMPLING MpEG control so~tware must confisure ma~_v Sampling structure is fi ted ~y standarC
- JpE5 in coaed Oa~a H 261 auto, ' ~ configurecfor 4 2 0 video Table A 14 5 Register to To~en cro~s r-ference ~ 21451~

r-glster Tok n st ndard comment ma~t_cs pon~ ~t_ld blAX_COMP_ID MPEG control soltware musl configure Sampling structure ~s fi~ted by standard JPEG in codea data H.261 ~. , lyeonfi5uredlor4:2:0 video tq_o JPEG_TA~LE_SELECT JPEG in coded data tq ~ MPEG not used in standYa~
H.261 tq_2 tq_3 blocks_h_0 DEFINE_SAMPLING MPEG control soltware must configure blocks_h_l Sampling sttucture is fi~ed by blocks_h_2 standard blocks_h_3 JPEG in coded data H261 ~ Iy configured lor 4 2 0 blocks_v_0 video blocks_v_1 blocks_v_2 blocks_v_3 dc_hutt_0 in ~n he-~r daU JPEG in COd d data dc hun l MPEG_DCH_TABLE MPEG controlsotrwuemustconfigure H261 not us-d in standYd dc_hun_2 rJc_hutt_3 ac_hun_0 in sc n head-r data JPEG in coca data ac_hun_i MPEG nol used in standara ~c_hutt_2 ~c_hutt_3 Table A.14.5 R-gister to Tok-n cross reference (contd) DEMANDES OU BREVETS VOLUMINEIJX

LA PRÉSEI\ITE PARTIE DE CETTE DENIANDE OU CE BREVET
COMPREND PLUS D'UN TOME.

CECI EST LE TOME DE

NOTE: Pour les tomes additionels, veuillez contacter le Bureau canadien des brevets 2. ~ 8 JIJMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLiCATlONliATENT CONTAINS MORE
THAN ONE VOLUME

NOTE: For additional v~lumes please c~ntact ~he Canadian Patent Office

Claims (2)

1. For use with a system having a plurality of processing stages:
a universal adaptation unit in the form of an interactive interfacing token for control and/or data functions among said processing stages, wherein said token is a PICTURE_START code token for indicating that the start of a picture will follow in the subsequent DATA token.
2. In a system having an input, an output and a plurality of processing stages between the input and the output, the improvement comprising:
an interactive metamorphic interfacing token, defining a universal adaptation unit for control and/or data functions among said processing stages, wherein said token is a PICTURE_START code token for indicating that the start of a picture will follow in the subsequent DATA token.
CA002145158A 1994-03-24 1995-03-21 Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto Abandoned CA2145158A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB9405914A GB9405914D0 (en) 1994-03-24 1994-03-24 Video decompression
GB9405914.4 1995-02-28
GB9504019A GB2288957B (en) 1994-03-24 1995-02-28 Start code detector
GB9504019.2 1995-02-28

Publications (1)

Publication Number Publication Date
CA2145158A1 true CA2145158A1 (en) 1995-09-25

Family

ID=26304579

Family Applications (13)

Application Number Title Priority Date Filing Date
CA002145159A Abandoned CA2145159A1 (en) 1994-03-24 1995-03-21 Method and apparatus for an inverse quantiser
CA002145158A Abandoned CA2145158A1 (en) 1994-03-24 1995-03-21 Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto
CA002145157A Abandoned CA2145157A1 (en) 1994-03-24 1995-03-21 Token technique in a pipelined video decompression system
CA002145156A Abandoned CA2145156A1 (en) 1994-03-24 1995-03-21 Spatial decoder and pipeline machine including same
CA002145225A Abandoned CA2145225A1 (en) 1994-03-24 1995-03-22 Token technique in a pipelined video decompression system
CA002145222A Expired - Lifetime CA2145222C (en) 1994-03-24 1995-03-22 Multistandard video decoder and decomposition system for processing encoded bit streams including start codes and methods relating thereto
CA002145221A Abandoned CA2145221A1 (en) 1994-03-24 1995-03-22 System and apparatus for decoding variable-length video data and methods relating thereto
CA002145224A Abandoned CA2145224A1 (en) 1994-03-24 1995-03-22 Apparatus for providing time delay to compressed video information and method relating thereto
CA002145220A Abandoned CA2145220A1 (en) 1994-03-24 1995-03-22 Decoder and video apparatus including token generator and methods relating thereto
CA002145223A Expired - Lifetime CA2145223C (en) 1994-03-24 1995-03-22 Huffman decoder
CA002145427A Abandoned CA2145427A1 (en) 1994-03-24 1995-03-23 Correction for overlapping of start codes during token generation in a data pipeline system
CA002145425A Expired - Lifetime CA2145425C (en) 1994-03-24 1995-03-23 Video parser and pipeline system including same and methods relating thereto
CA002145424A Abandoned CA2145424A1 (en) 1994-03-24 1995-03-23 Video formatting apparatus and decoder system and methods relating thereto

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CA002145159A Abandoned CA2145159A1 (en) 1994-03-24 1995-03-21 Method and apparatus for an inverse quantiser

Family Applications After (11)

Application Number Title Priority Date Filing Date
CA002145157A Abandoned CA2145157A1 (en) 1994-03-24 1995-03-21 Token technique in a pipelined video decompression system
CA002145156A Abandoned CA2145156A1 (en) 1994-03-24 1995-03-21 Spatial decoder and pipeline machine including same
CA002145225A Abandoned CA2145225A1 (en) 1994-03-24 1995-03-22 Token technique in a pipelined video decompression system
CA002145222A Expired - Lifetime CA2145222C (en) 1994-03-24 1995-03-22 Multistandard video decoder and decomposition system for processing encoded bit streams including start codes and methods relating thereto
CA002145221A Abandoned CA2145221A1 (en) 1994-03-24 1995-03-22 System and apparatus for decoding variable-length video data and methods relating thereto
CA002145224A Abandoned CA2145224A1 (en) 1994-03-24 1995-03-22 Apparatus for providing time delay to compressed video information and method relating thereto
CA002145220A Abandoned CA2145220A1 (en) 1994-03-24 1995-03-22 Decoder and video apparatus including token generator and methods relating thereto
CA002145223A Expired - Lifetime CA2145223C (en) 1994-03-24 1995-03-22 Huffman decoder
CA002145427A Abandoned CA2145427A1 (en) 1994-03-24 1995-03-23 Correction for overlapping of start codes during token generation in a data pipeline system
CA002145425A Expired - Lifetime CA2145425C (en) 1994-03-24 1995-03-23 Video parser and pipeline system including same and methods relating thereto
CA002145424A Abandoned CA2145424A1 (en) 1994-03-24 1995-03-23 Video formatting apparatus and decoder system and methods relating thereto

Country Status (5)

Country Link
JP (16) JP3302526B2 (en)
KR (1) KR950033895A (en)
CN (1) CN1174315C (en)
CA (13) CA2145159A1 (en)
GB (1) GB2288957B (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8284844B2 (en) 2002-04-01 2012-10-09 Broadcom Corporation Video decoding system supporting multiple standards
JP4143907B2 (en) 2002-09-30 2008-09-03 ソニー株式会社 Information processing apparatus and method, and program
CN100382565C (en) * 2002-12-04 2008-04-16 Nxp股份有限公司 Method and apparatus for selecting particular decoder based on bitstream format detection
CN1717939A (en) * 2003-02-19 2006-01-04 松下电器产业株式会社 Picture decoding apparatus, picture encoding apparatus, and method thereof
US7760949B2 (en) 2007-02-08 2010-07-20 Sharp Laboratories Of America, Inc. Methods and systems for coding multiple dynamic range images
US8139601B2 (en) * 2007-07-06 2012-03-20 Xmos Limited Token protocol
PL2518963T3 (en) 2007-09-18 2015-10-30 Lg Electronics Inc Method and system for transmitting and receiving signals
BR112014008734B1 (en) 2011-10-11 2021-11-30 Telefonaktiebolaget Lm Ericsson (Publ) METHOD FOR DETECTING A CHANGE OF SCENE IN A VIDEO TRANSMITTED IN CONTINUOUS STREAM, NON-TRANSITORY COMPUTER-READable MEDIUM AND RELATED DEVICE
CN106297631B (en) * 2016-08-30 2019-06-04 南京巨鲨显示科技有限公司 A kind of display and its error correction method with curve data error correction
US9666307B1 (en) * 2016-09-14 2017-05-30 Micron Technology, Inc. Apparatuses and methods for flexible fuse transmission
CN109491640B (en) * 2019-01-22 2023-08-01 上海艾为电子技术股份有限公司 Temperature detection device and temperature detection method
CN110350922A (en) * 2019-07-18 2019-10-18 南京风兴科技有限公司 A kind of binary-coded addressing method and addressing device
CN111208867B (en) * 2019-12-27 2021-08-24 芯创智(北京)微电子有限公司 DDR (double data Rate) read data integer clock cycle-based synchronization circuit and synchronization method
CN111312309B (en) * 2020-01-10 2023-05-02 电子科技大学 Circuit structure for improving read-write times of ferroelectric memory
CN111722581B (en) * 2020-05-28 2021-10-22 国电南瑞科技股份有限公司 Method for improving communication transmission and data processing efficiency of PLC and upper computer
CN113095015A (en) * 2021-05-08 2021-07-09 中国科学院上海微系统与信息技术研究所 SFQ time sequence circuit comprehensive calculation method, system and terminal

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6046585B2 (en) * 1979-03-06 1985-10-16 株式会社リコー Serial data transmission method
DE69229338T2 (en) * 1992-06-30 1999-12-16 Discovision Ass Data pipeline system
US5325092A (en) * 1992-07-07 1994-06-28 Ricoh Company, Ltd. Huffman decoder architecture for high speed operation and reduced memory
US5351047A (en) * 1992-09-21 1994-09-27 Laboratory Automation, Inc. Data decoding method and apparatus
US5699460A (en) * 1993-04-27 1997-12-16 Array Microsystems Image compression coprocessor with data flow control and multiple processing units

Also Published As

Publication number Publication date
JPH08237654A (en) 1996-09-13
JPH08228345A (en) 1996-09-03
JPH08322045A (en) 1996-12-03
JP3302540B2 (en) 2002-07-15
CA2145427A1 (en) 1995-09-25
JPH08228347A (en) 1996-09-03
JPH08316838A (en) 1996-11-29
CA2145159A1 (en) 1995-09-25
JPH0870452A (en) 1996-03-12
GB2288957A (en) 1995-11-01
CA2145224A1 (en) 1995-09-25
JP2002142219A (en) 2002-05-17
JPH08116261A (en) 1996-05-07
CA2145222A1 (en) 1995-09-25
JP3302537B2 (en) 2002-07-15
CA2145156A1 (en) 1995-09-25
JP3302539B2 (en) 2002-07-15
JP3174996B2 (en) 2001-06-11
JP2003078914A (en) 2003-03-14
JPH08322044A (en) 1996-12-03
CA2145223C (en) 1999-10-05
CA2145221A1 (en) 1995-09-25
CA2145424A1 (en) 1995-09-25
CA2145225A1 (en) 1995-09-25
JP3302538B2 (en) 2002-07-15
CA2145157A1 (en) 1995-09-25
JPH08228348A (en) 1996-09-03
CA2145425A1 (en) 1995-09-25
JPH08228346A (en) 1996-09-03
CN1174315C (en) 2004-11-03
GB9504019D0 (en) 1995-04-19
CA2145223A1 (en) 1995-09-25
CA2145222C (en) 2002-09-10
KR950033895A (en) 1995-12-26
JPH08279763A (en) 1996-10-22
JPH08228344A (en) 1996-09-03
JP3302526B2 (en) 2002-07-15
CN1133534A (en) 1996-10-16
CA2145425C (en) 2002-10-01
JP2002135778A (en) 2002-05-10
CA2145220A1 (en) 1995-09-25
JP3170744B2 (en) 2001-05-28
GB2288957B (en) 1998-09-23
JPH08228343A (en) 1996-09-03

Similar Documents

Publication Publication Date Title
US5821885A (en) Video decompression
EP0901286A1 (en) Multistandard decoder for Huffman codes
US20020066007A1 (en) Multistandard video decoder and decompression system for processing encoded bit streams including pipeline processing and methods relating thereto
CA2145549C (en) Multi-standard configuration
CA2145158A1 (en) Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto
CA2145423A1 (en) Inverse modeller, system including same, and methods relating thereto
GB2293076A (en) Video decoding and time synchronisation

Legal Events

Date Code Title Description
EEER Examination request
FZDC Correction of dead application (reinstatement)
FZDE Dead