CA2145156A1 - Spatial decoder and pipeline machine including same - Google Patents

Spatial decoder and pipeline machine including same

Info

Publication number
CA2145156A1
CA2145156A1 CA002145156A CA2145156A CA2145156A1 CA 2145156 A1 CA2145156 A1 CA 2145156A1 CA 002145156 A CA002145156 A CA 002145156A CA 2145156 A CA2145156 A CA 2145156A CA 2145156 A1 CA2145156 A1 CA 2145156A1
Authority
CA
Canada
Prior art keywords
data
token
stage
signal
pipeline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002145156A
Other languages
French (fr)
Inventor
Adrian Philip Wise
Martin William Sotheran
William Philip Robbins
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Discovision Associates
Original Assignee
Discovision Associates
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GB9405914A external-priority patent/GB9405914D0/en
Application filed by Discovision Associates filed Critical Discovision Associates
Publication of CA2145156A1 publication Critical patent/CA2145156A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0207Addressing or allocation; Relocation with multidimensional access, e.g. row/column, matrix
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/04Addressing variable-length words or parts of words
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1673Details of memory controller using buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/42Methods or arrangements for coding, decoding, compressing or decompressing digital video signals characterised by implementation details or hardware specially adapted for video compression or decompression, e.g. dedicated software implementation
    • H04N19/423Methods or arrangements for coding, decoding, compressing or decompressing digital video signals characterised by implementation details or hardware specially adapted for video compression or decompression, e.g. dedicated software implementation characterised by memory arrangements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/60Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using transform coding
    • H04N19/61Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using transform coding in combination with predictive coding
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/102Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the element, parameter or selection affected or controlled by the adaptive coding
    • H04N19/13Adaptive entropy coding, e.g. adaptive variable length coding [AVLC] or context adaptive binary arithmetic coding [CABAC]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/90Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using coding techniques not provided for in groups H04N19/10-H04N19/85, e.g. fractals
    • H04N19/91Entropy coding, e.g. variable length coding [VLC] or arithmetic coding

Abstract

A multi-standard video decompression apparatus has a plurality of stages interconnected by a two-wire interface arranged as a pipeline processing machine. Control tokens and DATA Tokens pass over the single two-wire interface for carrying both control and data in token format. A
token decode circuit is positioned in certain of the stages for recognizing certain of the tokens as control tokens pertinent to that stage and for passing unrecognized control tokens along the pipeline.
Reconfiguration processing circuits are positioned in selected stages and are responsive to a recognized control token for reconfiguring such stage to handle an identified DATA Token. A wide variety of unique supporting subsystem circuitry and processing techniques are disclosed for implementing the system.

Description

DEMANDES OU BREVETS VOLUMINEUX

I A PRÉSENTE PARTIE DE ~ t DEIUIANDE OU CE BREVET
COMPREND PLUS D'UN TOME.

CECI EST LE TOME DE

NOTE: Pour les tomes addilio.~ls, veuillez ctmtact~r le Bureau canadien des brevets .

JUMBO APPLICATIONS/PATENTS

THIS SECTJON OF THE APPLICATION/PATENT CONTAINS MORE
THAN ONE VOLUME
.

THIS IS VOLUME ~- OF

NOTE: For additional volumes please c~ntact the Canadian Patent Offic~

~ 21~5156 FLUSH TOKEN
This application claims priority from British Application No. British Application No. 9405914.4 filed March 24, 1994 and British Application No. (not yet known) filed February 28, 1995.
R~CK~RouND OF THE lNV ~ lON
The present invention is directed to improvements in methods and apparatus for decompression which operates to decompress and/or decode a plurality of differently encoded input signals. The illustrative embodiment chosen for description hereinafter relates to the decoding of a plurality of encoded picture standards. More specifically, this embodiment relates to the decoding of any one of the well known standards known as JPEG, MPEG and H.261.
A serial pipeline processing system of the present invention comprises a single two-wire bus used for carrying unique and specialized interactive interfacing tokens, in the form of control tokens and data tokens, to a plurality of adaptive decompression circuits and the like positioned as a reconfigurable pipeline processor.
Video compression/decompression systems are generally well-known in the art. However, such systems have generally been dedicated in design and use to a single compression standard.
They have also suffered from a number of other inefficiencies and inflexibility in overall system and subsystem design and data flow management.
Examples of prior art systems and subsystems are enumerated as follows:
One prior art system is described in United States Patent No. 5,216,724. The apparatus comprises a plurality of compute modules, in a preferred embodiment, for a total of four compute modules coupled in parallel. Each of the 21~5156 -compute modules has a processor, dual port memory, scratch-pad memory, and an arbitration rPch~nism. A first bus couples the compute modules and a host processor. The device comprises a shared memory which is coupled to the host processor and to the compute modules with a second bus.
United States Patent No. 4,785,349 discloses a full motion color digital video signal that is compressed, formatted for transmission, recorded on compact disc media and decoded at conventional video frame rates. During compression, regions of a frame are individually analyzed to select optimum fill coding methods specific to each region.
Region decoding time estimates are made to optimize compression thresholds. Region descriptive codes conveying the size and locations of the regions are grouped together in a first segment of a data stream. Region fill codes conveying pixel amplitude indications for the regions are grouped together according to fill code type and placed in other segments of the data stream. The data stream segments are individually variable length coded according to their respective statistical distributions and formatted to form data frames. The number of bytes per frame is withered by the addition of auxiliary data determined by a reverse frame sequence analysis to provide an average number selected to minimize pauses of the compact disc during playback, thereby avoiding unpredictable seek mode latency periods characteristic of compact discs. A decoder includes a variable length decoder responsive to statistical information in the code stream for separately variable length decoding individual segments of the data stream. Region location data is derived from region descriptive data and applied with region fill codes to a plurality of region specific decoders selected by detection of the fill code type (e.g., relative, absolute, dyad and DPCM) and decoded region pixels are stored in a bit map for subsequent display.

- 21451~6 United States Patent No. 4,922,341 discloses a method for scene-model-assisted reduction of image data for digital television signals, whereby a picture signal supplied at time is to be coded, whereby a predecessor frame from a scene already coded at time t-1 is present in an image store as a reference, and whereby the frame-to-frame information is composed of an amplification factor, a shift factor, and an adaptively acquired quad-tree division structure. Upon initialization of the system, a uniform, prescribed gray scale value or picture half-tone expressed as a defined luminance value is written into the image store of a coder at the transmitter and in the image store of a decoder at the receiver store, in the same way for all picture elements (pixels). Both the image store in the coder as well as the image store in the decoder are each operated with feed back - to themselves in a manner such that the content of the image store in the coder and decoder can be read out in blocks of variable size, can be amplified with a factor greater than or less than 1 of the luminance and can be written back into the image store with shifted addresses, whereby the blocks of variable size are organized according to a known quad tree data structure.
United States Patent No. 5,122,875 discloses an apparatus for encoding/decoding an HDTV signal. The apparatus includes a compression circuit responsive to high definition video source signals for providing hierarchically layered codewords CW representing compressed video data and associated codewords T, defining the types of data represented by the codewords CW. A priority selection circuit, responsive to the codewords CW and T, parses the codewords CW into high and low priority codeword sequences wherein the high and low priority codeword sequences correspond to compressed video data of relatively greater and lesser importance to image reproduction respectively. A

21~al~

transport processor, responsive to the high and low priority codeword sequences, forms high and low priority transport blocks of high and low priority codewords, respectively.
Each transport block includes a header, codewords CW and error detection check bits. The respective transport blocks are applied to a forward error check circuit for applying additional error check data. Thereafter, the high and low priority data are applied to a modem wherein quadrature amplitude modulates respective carriers for transmission.
United States Patent No. 5,146,325 discloses a video decompression system for decompressing compressed image data wherein odd and even fields of the video signal are independently compressed in sequences of intraframe and interframe compression modes and then interleaved for transmission. The odd and even fields are independently - decompressed. During intervals when valid decompressed odd/even field data is not available, even/odd field data is substituted for the unavailable odd/even field data.
Independently decompressing the even and odd fields of data and substituting the opposite field of data for unavailable data may be used to advantage to reduce image display latency during system start-up and channel changes.
United States Patent No. 5,168,356 discloses a video signal encoding system that includes apparatus for segmenting encoded video data into transport blocks for signal transmission. The transport block format enhances signal recovery at the receiver by virtue of providing header data from which a receiver can determine re-entry points into the data stream on the occurrence of a loss or corruption of transmitted data. The re-entry points are maximized by providing secondary transport headers embedded within encoded video data in respective transport blocks.
United States Patent No. 5,168,375 discloses a method for processing a field of image data samples to provide for -- ~14515G

one or more of the functions of decimation, interpolation, and sharpening. This is accomplished by an array transform processor such as that employed in a JPEG compression system.
Blocks of data samples are transformed by the discrete even cosine transform (DECT) in both the decimation and interpolation processes, after which the number of frequency terms is altered. In the case of decimation, the number of frequency terms is reduced, this being followed by inverse transformation to produce a reduced-size matrix of sample points representing the original block of data. In the case of interpolation, additional frequency components of zero value are inserted into the array of frequency components after which inverse transformation produces an enlarged data sampling set without an increase in spectral bandwidth. In the case of sharpening, accomplished by a convolution or filtering operation involving multiplication of transforms of data and filter kernel in the frequency domain, there is provided an inverse transformation resulting in a set of blocks of processed data samples. The blocks are overlapped followed by a savings of designated samples, and a discarding of excess samples from regions of overlap. The spatial representation of the kernel is modified by reduction of the number of components, for a linear-phase filter, and zero-padded to equal the number of samples of a data block, this being followed by forming the discrete odd cosine transform (DOCT) of the padded kernel matrix.
United States Patent No. 5,175,617 discloses a system and method for transmitting logmap video images through telephone line band-limited analog channels. The pixel organization in the logmap image is designed to match the sensor geometry of the human eye with a greater concentration of pixels at the center. The transmitter divides the frequency band into channels, and assigns one or two pixels to each channel, for example a 3KHz voice quality telephone 1451~6 line is divided into 768 channels spaced about 3.9Hz apart.
Each channel consists of two carrier waves in quadrature, so each channel can carry two pixels. Some channels are reserved for special calibration signals enabling the receiver to detect both the phase and magnitude of the received signal. If the sensor and pixels are connected directly to a bank of oscillators and the receiver can continuously receive each channel, then the receiver need not be synchronized with the transmitter. An FFT algorithm implements a fast discrete approximation to the continuous case in which the receiver synchronizes to the first frame and then acquires subsequent frames every frame period. The frame period is relatively low compared with the sampling period so the receiver is unlikely to lose frame synchrony once the first frame is detected. An experimental video telephone transmitted 4 frames per second, applied quadrature coding to 1440 pixel logmap images and obtained an effective data transfer rate in excess of 40,000 bits per second.
United States Patent No. 5,185,819 discloses a video compression system having odd and even fields of video signal that are independently compressed in sequences of intraframe and interframe compression modes. The odd and even fields of independently compressed data are interleaved for transmission such that the intraframe even field compressed data occurs midway between successive fields of intraframe odd field compressed data. The interleaved sequence provides receivers with twice the number of entry points into the signal for decoding without increasing the amount of data transmitted.
United States Patent No. 5,212,742 discloses an apparatus and method for processing video data for compression/decompression in real-time. The apparatus comprises a plurality of compute modules, in a preferred embodiment, for a total of four compute modules coupled in 21~156 parallel. Each of the compute modules has a processor, dual port memory, scratch-pad memory, and an arbitration mechanism. A first bus couples the compute modules and host processor. Lastly, the device comprises a shared memory which is coupled to the host processor and to the compute modules with a second bus. The method handles assigning portions of the image for each of the processors to operate upon.
United States Patent No. 5,231,484 discloses a system and method for implementing an encoder suitable for use with the proposed ISO/IEC MPEG standards. Included are three cooperating components or subsystems that operate to variously adaptively pre-process the incoming digital motion video sequences, allocate bits to the pictures in a sequence, and adaptively quantize transform coefficients in different regions of a picture in a video sequence so as to provide optimal visual quality given the number of bits allocated to that picture.
United States Patent No. 5,267,334 discloses a method of removing frame redundancy in a computer system for a sequence of moving images. The method comprises detecting a first scene change in the sequence of moving images and generating a first keyframe containing complete scene information for a first image. The first keyframe is known, in a preferred embodiment, as a "forward-facing" keyframe or intraframe, and it is normally present in CCITT compressed video data. The process then comprises generating at least one intermediate compressed frame, the at least one intermediate compressed frame containing difference information from the first image for at least one image following the first image in time in the sequence of moving images. This at least one frame being known as an interframe. Finally, detecting a second scene change in the sequence of moving images and generating a second keyframe containing complete scene information for an image displayed at the time just prior to the second scene change, known as a "backward-facing" keyframe. The first keyframe and the at least one intermediate compressed frame are linked for forward play, and the second keyframe and the intermediate compressed frames are linked in reverse for reverse play. The intraframe may also be used for generation of complete scene information when the images are played in the forward direction. When this sequence is played in reverse, the backward-facing keyframe is used for the generation of complete scene information.
United States Patent No. 5,276,513 discloses a first circuit apparatus, comprising a given number of prior-art image-pyramid stages, together with a second circuit apparatus, comprising the same given number of novel motion-vector stages, perform cost-effective hierarchical motion analysis (HMA) in real-time, with minimum system processing delay and/or employing minimum system processing delay and/or employing minimum hardware structure. Specifically, the first and second circuit apparatus, in response to relatively high-resolution image data from an ongoing input series of successive given pixel-density image-data frames that occur at a relatively high frame rate (e.g., 30 frames per second), derives, after a certain processing-system delay, an ongoing output series of successive given pixel-density vector-data frames that occur at the same given frame rate. Each vector-data frame is indicative of image motion occurring between each pair of successive image frames.
United States Patent No. 5,283,646 discloses a method and apparatus for enabling a real-time video encoding system to accurately deliver the desired number of bits per frame, while coding the image only once, updates the quantization step size used to quantize coefficients which describe, for example, an image to be transmitted over a communications channel. The data is divided into sectors, each sector - 21451~6 g including a plurality of blocks. The blocks are encoded, for example, using DCT coding, to generate a sequence of coefficients for each block. The coefficients can be quantized, and depending upon the quantization step, the number of bits required to describe the data will vary significantly. At the end of the transmission of each sector of data, the accumulated actual number of bits expended is compared with the accumulated desired number of bits expended, for a selected number of sectors associated with the particular group of data. The system then readjusts the quantization step size to target a final desired number of data bits for a plurality of sectors, for example describing an image. Various methods are described for updating the quantization step size and determining desired bit allocations.
The article, Chong, Yong M., A Data-Flow Architecture for Diqital Image Processinq, Wescon Technical Papers: No.
2 Oct./Nov. 1984, discloses a real-time signal processing system specifically designed for image processing. More particularly, a token based data-flow architecture is disclosed wherein the tokens are of a fixed one word width having a fixed width address field. The system contains a plurality of identical flow processors connected in a ring fashion. The tokens contain a data field, a control field and a tag. The tag field of the token is further broken down into a processor address field and an identifier field. The processor address field is used to direct the tokens to the correct data-flow processor, and the identifier field is used to label the data such that the data-flow processor knows what to do with the data. In this way, the identifier field acts as an instruction for the data-flow processor. The system directs each token to a specific data-flow processor using a module number (MN). If the MN matches the MN of the particular stage, then the appropriate operations are ` 21451~6 performed upon the data. If unrecognized, the token is directed to an output data bus.
The article, Kimori, S. et al. An Elastic Pi~eline Mechanism bY Self-Timed Circuits, IEEE J. of Solid-State Circuits, Vol. 23, No. 1, February 1988, discloses an elastic pipeline having self-timed circuits. The asynchronous pipeline comprises a plurality of pipeline stages. Each of the pipeline stages consists of a group of input data latches followed by a combinatorial logic circuit that carries out logic operations specific to the pipeline stages. The data latches are simultaneously supplied with a triggering signal generated by a data-transferlcontrol circuit associated with that stage. The data-transfer control circuits are interconnected to form a chain through which send and acknowledge signal lines control a hand-shake mode of data transfer between the successive pipeline stages.
Furthermore, a decoder is generally provided in each stage to select operations to be done on the operands in the present stage. It is also possible to locate the decoder in the preceding stage in order to pre-decode complex decoding processing and to alleviate critical path problems in the logic circuit. The elastic nature of the pipeline eliminates any centralized control since all the interworkings between the submodules are determined by a completely localized decision and, in addition, each submodule can autonomously perform data buffering and self-timed data-transfer control at the same time. Finally, to increase the elasticity of the pipeline, empty stages are interleaved between the occupied stages in order to ensure reliable data transfer between the stages.

21 ~ 51 ~56 Accordingly, those concerned with the design, development and use of video compression/decompression systems and related subsystems have long recognized a need for improved methods and apparatus providing enhanced S flexibility, efficiency and performance. The present invention clearly fulfills all these needs.

-. 214~156 8~MMaRY OF THE INVENTION
Briefly, and in general terms, the present invention provides, in a system having a plurality of processing stages, a universal adaptation unit in the form of an interactive interfacing token for control and/or data functions among said processing stages, the token being a FLUSH token for clearing buffers and resetting the system.
The token may be an interactive metamorphic interfacing token for clearing buffers and resetting the system as it proceeds down the system from the input to the output. In accordance with the invention, the FLUSH token may variably reset the stages as the token proceeds down the pipeline.
The above and other objectives and advantages of the invention will become apparent from the following more detailed description when taken in conjunction with the accompanying drawings.

Figure. 1 illustrates six cycles of a six-stage pipeline for different combinations of two internal control signals;
Figures. 2a and 2b illustrate a pipeline in which ea~ch stage includes auxiliary data storage. They also show the manner in which pipeline stages can "compress" and "expand" in response to delays in the pipeline;
Figures. 3a(1), 3a(2), 3b(1) and 3b(2) illustrate the control of data transfer between stages of a preferred embodiment of a pipeline using a two-wire interface and a multi-phase clock;
Figure. 4 is a block diagram that illustrates a basic embodiment of a pipeline stage that incorporates a two-wire transfer control and also shows two consecutive pipeline processing stages with the two-wire transfer control;
- Figures. 5a and 5b taken together depict one example of a timing diagram that shows the relationship between timing signals, input and output data, and internal control signals used in the pipeline stage as shown in Figure. 4;
Figure. 6 is a block diagram of one example of a pipeline stage that holds its state under the control of an extension bit;
Figure. 7 is a block diagram of a pipeline stage that decodes stage activation data words;
Figures. 8a and 8b taken together form a block diagram showing the use of the two-wire transfer control in an exemplifying "data duplication" pipeline stage;
Figures. 9a and 9b taken together depict one example of a timing diagram that shows the two-phase clock, the two-wire transfer control signals and the other internal data and control signals used in the exemplifying embodiment shown in Figures. 8a and 8b.
Figure 10 is a block diagram of a reconfigurable processing stage;

- 21~51~6 Figure 11 is a block diagram of a spatial decoder;
Figure 12 is a block diagram of a temporal decoder;
Figure 13 is a block diagram of a video formatter;
Figures 14a-c show various arrangements of memory blocks used in the present invention:
Figure 14a is a memory map showing a first arrangement of macroblocks;
Figure 14b is a memory map showing a second arrangement of macroblocks;
Figure 14c is a memory map showing a further arrangement of macroblocks;
Figure 15 shows a Venn diagram of possible table selection values;
Figure 16 shows the variable length of picture data used in the present invention;
Figure 17 is a block diagram of the temporal decoder including the prediction filters;
Figure 18 is a pictorial representation of the prediction filtering process;
Figure 19 shows a generalized representation of the macroblock structure;
Figure 20 shows a generalized block diagram of a Start Code Detector;
Figure 21 illustrates examples of start codes in a data stream;
Figure 22 is a block diagram depicting the relationship between the flag generator, decode index, header generator, extra word generator and output latches;
Figure 23 is a block diagram of the Spatial Decoder DRAM
interface;
Figure 24 is a block diagram of a write swing buffer;
Figure 25 is a pictorial diagram illustrating prediction data offset from the block being processed;
Figure 26 is a pictorial diagram illustrating prediction data " 21451S6 offset by (1,1);
Figure 27 is a block diagram illustrating the Huffman decoder and parser state machine of the Spatial Decoder.
Figure 28 is a block diagram illustrating the prediction filter.

" 2145156 Figure 29 shows a typical decoder system;
Figure 30 shows a JPEG still picture decoder;
Figure 31 shows a JPEG video decoder;
5 Figure 32 shows a multi-standard video decoder;
Figure 33 shows the start and the end of a token;
Figure 34 shows a token address and data fields;
Figure 35 shows a token on an interface wider than 8 bits;
10 Figure 36 shows a macroblock structure;
Figure 37 shows a two-wire interface protocol;
Figure 38 shows the location of external two-wire interfaces;
Figure 39 shows clock propagation;
15 Figure 40 shows two-wire interface timing;
Figure 41 shows examples of access structure;
Figure 42 shows a read transfer cycle;
Figure 43 shows an access start timing;
Figure 44 shows an example access with two write 20 transfers;
Figure 45 shows a read transfer cycle;
Figure 46 shows a write transfer cycle;
Figure 47 shows a refresh cycle;
Figure 48 shows a 32 bit data bus and a 256 kbit deep DRAMs (9 bit row address);
Figure 49 shows timing parameters for any strobe signal;
Figure 50 shows timing parameters between any two strobe signals;
30 Figure 51 shows timing parameters between a bus and a strobe;
Figure 52 shows timing par,ameters between a bus and a strobe;
Figure 53 shows an MPI read timing;
35 Figure 54 shows an MPI write timing;
Figure 55 shows organization of large integers in the memory map;
Figure 56 shows a typical decoder clock regime;
Figure 57 shows input clock requirements;
40 Figure 58 shows the Spatial Decoder;
Figure 59 shows the inputs and outputs of the input circuit;
Figure 60 shows the coded port protocol;
Figure 61 shows the start code detector;
45 Figure 62 shows start codes detected and converted to Tokens;
Figure 63 shows the start codes detector passing Tokens;
Figure 64 shows overlapping MPEG start codes (byte 50 aligned);

Figure 65 shows overlapping MPEG start codes (not byte aligned);
Figure 66 shows jumping between two video sequences;
5 Figure 67 shows a sequence of extra Token insertion; -Figure 68 shows decoder start-up control;
Figure 69 shows enabled streams queued before the output;
10 Figure 70 shows a spatial decoder buffer;
Figure 71 shows a buffer pointer;
Figure 72 shows a video demux;
Figure 73 shows a construction of a picture;
Figure 74 shows a construction of a 4:2:2 15 macroblock;
Figure 75 shows a calculating macroblock dimension from pel ones;
Figure 76 shows spatial decoding;
Figure 77 shows an overview of H.261 inverse 20 quantization;
Figure 78 shows an overview of JPEG inverse quantization;
Figure 79 shows an overview of MPEG inverse quantization;
25 Figure 80 shows a quantization table memory map;
Figure 81 shows an overview of JPEG baseline sequential structure;
Figure 82 shows a tokenised JPEG picture;
Figure 83 shows a temporal decoder;
30 Figure 84 shows a picture buffer specification;
Figure 85 shows an MPEG picture sequence (m=3);
Figure 86 shows how "I" pictures are stored and output;
Figure 87 shows how "P" pictures are formed, stored 35 and output;
Figure 88 shows how "B" pictures are formed and output;
Figure 89 shows P picture formation;
Figure 90 shows H.261 prediction formation;
Figure 91 ~ shows an H.261 "sequence";
Figure 92 shows a hierarchy of H.261 syntax;
Figure 93 shows an H.261 picture layer;
Figure 94 shows an H.261 arrangement of groups of blocks;
Figure 95 shows an H.261 "slice" layer;
Figure 96 shows an H.261 arrangement of macroblocks;
Figure 97 shows an H.261 sequence of blocks;
Figure 98 shows an H.261 macroblock layer;
Figure 99 shows an H.261 arrangement of pels in blocks;

~ . 21~51~6 Figure 100 shows a hierarchy of MPEG syntax;
Figure 101 shows an MPEG sequence layer;
Figure 102 shows an MPEG group of pictures layer;
Figure 103 shows an MPEG picture layer;
5 Figure 104 shows an MPEG "slice" layer;
Figure 105 shows an MPEG sequence of blocks;
Figure 106 shows an MPEG macroblock layer;
Figure 107 shows an "open GOP";
Figure 108 shows examples of access structure;
10 Figure 109 shows access start timing;
Figure 110 shows a fast page read cycle;
Figure 111 shows a fast page write cycle;
Figure 112 shows a refresh cycle;
Figure 113 shows extracting row and column address from a chip address;
Figure 114 shows timing parameters for any strobe signal;
Figure 115 shows timing parameters between any two strobe signals;
20 Figure 116 shows timing parameters between a bus and a strobe;
Figure 117 shows timing parameters between a bus and a strobe;
Figure 118 shows a Huffman decoder and parser;
Figure 119 shows an H.261 and an MPEG AC Coefficient Decoding Flow Chart;
Figure 120 shows a block diagram for JPEG (AC and DC) coefficient decoding;
Figure 121 shows a flow diagram for JPEG (AC and DC) coefficient decoding;
Figure 122 shows an interface to the Huffman Token Formatter;
Figure 123 shows a token formatter block diagram;
Figure 124 shows an H.261 and an MPEG AC Coefficient Decoding;
Figure 125 shows the interface to the Huffman ALU;
Figure 126 shows the basic structure of the Huffman ALU;
Figure 127 shows the buffer manager;
40 Figure 128 . shows an imodel and hsppk block diagram;
Figure 129 shows an imex state diagram;
Figure 130 illustrates the buffer start-up;
Figure 131 shows a DRAM interface;
Figure 132 shows a write swing buffer;
45 Figure 133 shows an arithmetic block;
Figure 134 shows an iq block diagram;
Figure 135 shows an iqca state machine;
Figure 136 shows an IDCT l-D Transform Algorithm;
Figure 137 shows an IDCT l-D Transform Architecture;
50 Figure 138 shows a token stream block diagram;
Figure 139 shows a standard block structure;

Figure 140 is a block diagram showing;
microprocessor test access;
Figure 141 shows 1-D Transform Micro-Architecture;
Figure 142 shows a temporal decoder block diagram;
5 Figure 143 shows the structure of a Two-wire interface stage; -Figure 144 shows the address generator block diagram;
Figure 145 shows the block and pixel offsets;
10 Figure 146 shows multiple prediction filters;
Figure 147 shows a single prediction filter;
Figure 148 shows the 1-D prediction filter;
Figure 149 shows a block of pixels;
Figure 150 shows the structure of the read rudder;
15 Figure 151 shows the block and pixel offsets;
Figure 152 shows a prediction example;
Figure 153 shows the read cycle;
Figure 154 shows the write cycle;
Figure 155 shows the top-level registers block diagram with timing references;
Figure 156 shows the control for incrementing presentation numbers;
Figure 157 shows the buffer manager state machine (complete);
Figure 158 shows the state machine main loop;
Figure 159 shows the buffer 0 containing an SIF (22 by 18 macroblocks) picture;
Figure 160 shows the SIF component 0 with a display window;
Figure 161 shows an example picture format showing storage block address;
Figure 162 shows a buffer 0 containing a SIF (22 by 18 macroblocks) picture;
Figure 163 shows an example address calculation;
35 Figure 164 shows a write address generation state machine;
Figure 165 shows a slice of the datapath;
Figure 166 shows a two cycle operation of the datapath;
40 Figure 167 ~ shows mode 1 filtering;
Figure 168 shows a horizontal up-sampler datapath;
and Figure 169 shows the structure of the color-space converter.

THIS PAGE IS INTENTIONALLY
LEFT BLANK.

21~5156 THIS PAGE IS INTENTIONALLY
LEFT BLANK.

21~515G
-In the ensuing description of the practice of the invention, the following terms are frequently used and are generally defined by the following glossary:
GT OS81~12y BLOCR: An 8-row by 8-column matrix of pels, or 64 DCT
coefficients (source, quantized or dequantized).
CHRONINANCE (COMrO~..): A matrix, block or single pel representing one of the two color difference signals related to the primary colors in the manner defined in the bit stream. The symbols used for the color difference signals are Cr and Cb.
CODED REPRE8ENTATION: A data element as represented in its encoded form.
CODED VIDEO BIT 8TREAM: A coded representation of a series of one or more pictures as defined in this specification.
- CODED ORDER: The order in which the pictures are transmitted and decoded. This order is not necessarily the same as the display order.
COMrO'.~ : A matrix, block or single pel from one of the three matrices (luminance and two chrominance) that make up a picture.
COMPRE88ION: Reduction in the number of bits used to represent an item of data.
DECODER: An embodiment of a decoding process.
DECODING ~PROCE88): The process defined in this specification that reads an input coded bitstream and produces decoded pictures or audio samples.
DI8PLAY ORDER: The order in which the decoded pictures are displayed. Typically, this is the same order in which they were presented at the input of the encoder.
ENCODING ~PROCE88): A process, not specified in this specification, that reads a stream of input pictures or audio samples and produces a valid coded bitstream as defined in this specification.

- ~14515l~

INTRA CODING: Coding of a macroblock or picture that uses information only from that macroblock or picture.
L~MTN~NC~ (collroN~ ): A matrix, block or single pel representing a monochrome representation of the signal and related to the primary colors in the manner defined in the bit stream. The symbol used for luminance is Y.
v~CPQRT~C~: The four 8 by 8 blocks of luminance data and the two (for 4:2:0 chroma format) four (for 4:2:2 chroma format) or eight (for 4:4:4 chroma format) corresponding 8 by 8 blocks of chrominance data coming from a 16 by 16 section of the luminance component of the picture. Macroblock is sometimes used to refer to the pel data and sometimes to the coded representation of the pel values and other data elements defined in the macroblock header of the syntax defined in this part of this specification. To one of - ordinary skill in the art, the usage is clear from the context.
NOTION COMPEN8ATION: The use of motion vectors to improve the efficiency of the prediction of pel values. The prediction uses motion vectors to provide offsets into the past and/or future reference pictures containing previously decoded pel values that are used to form the prediction error signal.
MOTION v~ O~: A two-dimensional vector used for motion compensation that provides an offset from the coordinate position in the current picture to the coordinates in a reference picture.
NON-INTRA CODING: Coding of a macroblock or picture that uses information both from itself and from macroblocks and pictures occurring at other times.
PEL: Picture element.
PICTURE: Source, coded or reconstructed image data. A source or reconstructed picture consists of three rectangular matrices of 8-bit numbers representing the luminance and two chrominance signals. For progressive video, a picture is identical to a frame, while for interlaced video, a picture can refer to a frame, or the top field or the bottom field of the frame depending on the context.
PREDICTION: The use of a predictor to provide an estimate of the pel value or data element currently being decoded.
P~CO~ GURABLE PROCE88 8TAGE (RP8): A stage, which in response to a recognized token, reconfigures itself to perform various operations.
8LICB: A series of macroblocks.
TOREN: A universal adaptation unit in the form of an interactive interfacing messenger package for control and/or data functions.
8TART CODE8 ~8Y8TBM AND VIDEO]: 32-bit codes embedded in a coded bitstream that are unique. They are used for several purposes including identifying some of the structures in the coding syntax.
v~T~Rr.~ LENGTH CODING; VLC: A reversible procedure for coding that assigns shorter code-words to frequent events and longer code-words to less frequent events.
VIDEO 8EQUENCB: A series of one or more pictures.
Detailed Descriptions '_ ` Z1~5156 DE8CRIPTION OF THE PREFERRED EMBODIMENT~8) As an introduction to the most general features used in a pipeline system which is utilized in the preferred embodiments of the invention, Fig. 1 is a greatly simplified illustration of six cycles of a six-stage pipeline. (As is explained in greater detail below, the preferred embodiment of the pipeline includes several advantageous features not shown in Fig 1.).
- Referring now to the drawings, wherein like reference numerals denote like or corresponding elements throughout the various figures of the drawings, and more particularly to Fig. 1, there is shown a block diagram of six cycles in practice of the present invention. Each row of boxes illustrates a cycle and each of the different stages are labelled A-F, respectively. Each shaded box indicates that the corresponding stage holds valid data, i.e., data that is to be processed in one of the pipeline stages. After processing (which may involve nothing more than a simple transfer without manipulation of the data) valid data is transferred out of the pipeline as valid output data.
Note that an actual pipeline application may include more or fewer than six pipeline stages. As will be appreciated, the present invention may be used with any number of pipeline stages. Furthermore, data may be processed in more than one stage and the processing time for different stages can differ.
In addition to clock and data signals (described below), the pipeline includes two transfer control signals -- a "VALID" signal and an "ACCEPT" signal. These signals are used to control the transfer of data within the pipeline.
The VALID signal, which is illustrated as the upper of the two lines connecting neighboring stages, is passed in a forward or downstream direction from each pipeline stage to the nearest neighboring device. This device may be another 21451~6 pipeline stage or some other system. For example, the last pipeline stage may pass its data on to subsequent processing circuitry. The ACCEPT signal, which is illustrated as the lower of the two lines connecting neighboring stages, passes in the other direction upstream to a preceding device.
A data pipeline system of the type used in the practice of the present invention has, in preferred embodiments, one or more of the following characteristics:
l. The pipeline is "elastic" such that a delay at a particular pipeline stage causes the minimum disturbance possible to other pipeline stages. Succeeding pipeline stages are allowed to continue processing and, therefore, this means that gaps open up in the stream of data following the delayed stage. Similarly, preceding pipeline stages may also continue where possible. In this case, any gaps in the data stream may, wherever possible, be removed from the stream of data.
2. Control signals that arbitrate the pipeline are organized so that they only propagate to the nearest neighboring pipeline stages. In the case of signals flowing in the same direction as the data flow, this is the immediately succeeding stage. In the case of signals flowing in the opposite direction to the data flow, this is the immediately preceding stage.
3. The data in the pipeline is encoded such that many different types of data are processed in the pipeline.
This encoding accommodates data packets of variable size and the size of the packet need not be known in advance.
4. The overhead associated with describing the type of data is as small as possible.
5. It is possible for each pipeline stage to recognize only the minimum number of data types that are needed for its required function. It should, however, still be able to pass all data types onto the succeeding stage even though it does not recognize them. This enables communication between non-adjacent pipeline stages.
Although not shown in Fig. 1, there are data lines, either single lines or several parallel lines, which form a data bus that also lead into and out of each pipeline stage.
As is explained and illustrated in greater detail below, data is transferred into, out of, and between the stages of the pipeline over the data lines.
Note that the first pipeline stage may receive data and control signals from any form of preceding device. For example, reception circuitry of a digital image transmission system, another pipeline, or the like. On the other hand, it may generate itself, all or part of the data to be processed in the pipeline. Indeed, as is explained below, a "stage"
may contain arbitrary processing circuitry, including none at all (for simple passing of data) or entire systems (for example, another pipeline or even multiple systems or pipelines), and it may generate, change, and delete data as desired.
When a pipeline stage contains valid data that is to be transferred down the pipeline, the VALID signal, which indicates data validity, need not be transferred further than to the immediately subsequent pipeline stage. A two-wire . interface is, therefore, included between every pair of pipeline stages in the system. This includes a two-wire interface between a preceding device and the first stage, and between a subsequent device and the last stage, if such other devices are included and data is to be transferred between them and the pipeline.
Each of the signals, ACCEPT and VALID, has a HIGH and a LOW value. These values are abbreviated as "H" and "L", respectively. The most common applications of the pipeline, in practicing the invention, will typically be digital. In such digital implementations, the HIGH value may, for 21451~6 example, be a logical "1" and the LOW value may be a logical "O". The system is not restricted to digital implementations, however, and in analog implementations, the HIGH value may be a voltage or other similar quantity above (or below) a set threshold, with the LOW value being indicated by the corresponding signal being below (or above) the same or some other threshold. For digital applications, the present invention may be implemented using any known technology, such as CMOS, bipolar etc.
It is not necessary to use a distinct storage device and wires to provide for storage of VALID signals. This is true even in a digital embodiment. All that is required is that the indication of "validity" of the data be stored along with the data. By way of example only, in digital television pictures that are represented by digital values, as specified in the international standard CCIR 601, certain specific values are not allowed. In this system, eight-bit binary numbers are used to represent samples of the picture and the values zero and 255 may not be used.
If such a picture were to be processed in a pipeline built in the practice of the present invention, then one of these values (zero, for example) could be used to indicate that the data in a specific stage in the pipeline is not valid.
Accordingly, any non-zero data would be deemed to be valid.
In this example, there is no specific latch that can be identified and said to be storing the "validness" of the associated data. Nonetheless, the validity of the data is stored along with the data.
As shown in Fig. l, the state of the VALID signal into each stage is indicated as an ~H~ or an ~'L" on an upper, right-pointed arrow. Therefore, the VALID signal from Stage A into Stage B is LOW, and the VALID signal from Stage D into Stage E is HIGH. The state of the ACCEPT signal into each stage is indicated as an ~H~ or an ~L~ on a lower, left-` 21~156 pointing arrow. Hence, the ACCEPT signal from Stage E intoStage D is HIGH, whereas the ACCEPT signal from the device connected downstream of the pipeline into Stage F is LOW.
Data is transferred from one stage to another during a cycle (explained below) whenever the ACCEPT signal of the downstream stage into its upstream neighbor is HIGH. If the ACCEPT signal is LOW between two stages, then data is not transferred between these stages.
Referring again to Fig. 1, if a box is shaded, the corresponding pipeline stage is assumed, by way of example, to contain valid output data. Likewise, the VALID signal which is passed from that stage to the following stage is HIGH. Fig. 1 illustrates the pipeline when stages B, D, and E contain valid data. Stages A, C, and F do not contain valid data. At the beginning, the VALID signal into pipeline stage A is HIGH, meaning that the data on the transmission line into the pipeline is valid.
Also at this time, the ACCEPT signal into pipeline stage F is LOW, so that no data, whether valid or not, is transferred out of Stage F. ~ote that both valid and invalid data is transferred between pipeline stages. Invalid data, which is data not worth saving, may be written over, thereby, eliminating it from the pipeline. However, valid data must . not be written over since it is data that must be saved for processing or use in a downstream device e.g., a pipeline stage, a device or a system connected to the pipeline that receives data from the pipeline.
In the pipeline illustrated in Fig. 1, Stage E contains valid data D1, Stage D contains valid data D2, Stage B
contains valid data D3, and a device (not shown) connected to the pipeline upstream contains data D4 that is to be transferred into and processed in the pipeline. Stages B, D
and E, in addition to the upstream device, contain valid data and, therefore, the VALID signal from these stages or devices into their respective following devices is HIGH. The VALID
signal from the Stages A, C and F is, however, LOW since these stages do not contain valid data.
Assume now that the device connected downstream from the pipeline is not ready to accept data from the pipeline. The device signals this by setting the corresponding ACCEPT
signal LOW into Stage F. Stage F itself, however, does not contain valid data and is, therefore, able to accept data from the preceding Stage E. Hence, the ACCEPT signal from Stage F into Stage E is set HIGH.
Similarly, Stage E contains valid data and Stage F is ready to accept this data. Hence, Stage E can accept new data as long as the valid data D1 is first transferred to Stage F. In other words, although Stage F cannot transfer data downstream, all the other stages can do so without any valid data being overwritten or lost. At the end of Cycle 1, data can, therefore, be "shifted" one step to the right.
This condition is shown in Cycle 2.
In the illustrated example, the downstream device is still not ready to accept new data in Cycle 2 and, therefore, the ACCEPT signal into Stage F is still LOW. Stage F cannot, therefore, accept new data since doing so would cause valid data Dl to be overwritten and lost. The ACCEPT signal from Stage F into Stage E, therefore, goes LOW, as does the ACCEPT
signal from Stage E into Stage D since Stage E also contains valid data D2. All of the Stages A-D, however, are able to accept new data (either because they do not contain valid data or because they are able to shift their valid data downstream and accept new data) and they signal this condition to their immediately preceding neighbors by setting their corresponding ACCEPT signals HIGH.
-~~ The state of the pipelines after Cycle 2 is illustrated in Fig. 1 for the row labelled Cycle 3. By way of example, it is assumed that the downstream device is still not ready to ~- 2145156 .

accept new data from Stage F (the ACCEPT signal into Stage F
is LOW). Stages E and F, therefore, are still "blocked", but in Cycle 3, Stage D has received the valid data D3, which has overwritten the invalid data that was previously in this stage. Since Stage D cannot pass on data D3 in Cycle 3, it cannot accept new data and, therefore, sets the ACCEPT signal into Stage C LOW. However, stages A-C are ready to accept new data and signal this by setting their corresponding ACCEPT signals HIGH. Note that data D4 has been shifted from Stage A to Stage 3.
Assume now that the downstream device becomes ready to accept new data in Cycle 4. It signals this to the pipeline by setting the ACCEPT signal into Stage F HIGH. Although Stages C-F contain valid data, they can now shift the data downstream and are, thus, able to accept new data. Since each stage is therefore able to shift data one step downstream, they set their respective ACCEPT signals out HIGH.
As long as the ACCEPT signal into the final pipeline stage (in this example, Stage F) is HIGH, the pipeline shown in Fig. l acts as a rigid pipeline and simply shifts data one step downstream on each cycle. Accordingly, in Cycle 5, data Dl, which was contained in Stage F in Cycle 4, is shifted out of the pipeline to the subsequent device, and all other data is shifted one step downstream.
Assume now, that the ACCEPT signal into Stage F goes LOW
in Cycle 5. Once again, this means that Stages D-F are not able to accept new data, and the ACCEPT signals out of these stages into their immediately preceding neighbors go LOW.
Hence, the data D2, D3 and D4 cannot shift downstream, however, the data D5 can. The corresponding state of the pipeline after Cycle 5 is, thus, shown in Fig. 1 as cycle 6.
The ability of the pipeline, in accordance with the preferred embodiments of the present invention, to "fill up"

` 21451S~

empty processing stages is highly advantageous since the processing stages in the pipeline thereby become decouple from one another. In other words, even though a pipeline stage may not be ready to accept data, the entire pipeline does not have to stop and wait for the delayed stage.
Rather, when one stage is unable to accept valid data it simply forms a temporary "wall" in the pipeline.
Nonetheless, stages downstream of the "wall" can continue to advance valid data even to circuitry connected to the pipeline, and stages to the left of the "wall" can still accept and transfer valid data downstream. Even when several pipeline stages temporarily cannot accept new data, other stages can continue to operate normally. In particular, the pipeline can continue to accept data into its initial stage A as long as stage A does not already contain valid data that cannot be advanced due to the next stage not being ready to accept new data. As this example illustrates, data can be transferred into the pipeline and between stages even when one or more processing stages is blocked.
In the embodiment shown in Fig. 1, it is assumed that the various pipeline stages do not store the ACCEPT signals they receive from their immediately following neighbors. Instead, whenever the ACCEPT signal into a downstream stage goes LOW, this LOW signal is propagated upstream as far as the nearest pipeline stage that does not contain valid data. For example, referring to Fig. 1, it was assumed that the ACCEPT
signal into Stage F goes LOW in Cycle 1. In Cycle 2, the LOW
signal propagates from Stage F back to Stage D.
In Cycle 3, when the data D3 is latched into Stage D, the ACCEPT signal propagates upstream four stages to Stage C.
When the ACCEPT signal into Stage F goes HIGH in Cycle 4, it ~ust propagate upstream all the way to Stage C. In other words, the change in the ACCEPT signal must propagate back four stages. It is not necessary, however, in the embodiment 1 21~515G

illustrated in Fig. 1, for the ACCEPT signal to propagate all the way back to the beginning of the pipeline if there is some intermediate stage that is able to accept new data.
In the embodiment illustrated in Fig. 1, each pipeline stage will still need separate input and output data latches to allow data to be transferred between stages without unintended overwriting. Also, although the pipeline illustrated in Flg. 1 is able to "compress" when downstream pipeline stages are blocked, i.e., they cannot pass on the data they contain, the pipeline does not "expand" to provide stages that contain no valid data between stages that do contain valid data. Rather, the ability to compress depends on there being cycles during which no valid data is presented to the first pipeline stage.
In Cycle 4, for example, if the ACCEPT signal into Stage F remained LOW and valid data filled pipeline stages A and B, as long as valid data continued to be presented to Stage A
the pipeline would not be able to compress any further and valid input data could be lost. Nonetheless, the pipeline illustrated in Fig. 1 reduces the risk of data loss since it is able to compress as long as there is a pipeline stage that does not contain valid data.
Fig. 2 illustrates another embodiment of the pipeline that can both compress and expand in a logical manner and which includes circuitry that limits propagation of the ACCEPT
signal to the nearest preceding stage. Although the circuitry for implementing this embodiment is explained and illustrated in greater detail below, Fig. 2 serves to illustrate the principle by which it operates.
For ease of comparison only, the input data and ACCEPT
signals into the pipeline embodiment shown in Fig. 2 are ~he same as in the pipeline embodiment shown in Fig. 1.
Accordingly, stages E, D and B contain valid data D1, D2 and D3, respectively. The ACCEPT signal into Stage F is LOW; and `- ~

data D4 is presented to the beginning pipeline Stage A. In Fig. 2, three lines are shown connecting each neighboring pair of pipeline stages. The uppermost line, which may be a bus, is a data line. The middle line is the line over which the VALID signal is transferred, while the bottom line is the line over which the ACCEPT signal is transferred. Also, as before, the ACCEPT signal into Stage F remains LOW except in Cycle 4. Furthermore, additional data D5 is presented to the pipeline in Cycle 4.
In Fig. 2, each pipeline stage is represented as a block divided into two halves to illustrate that each stage in this embodiment of the pipeline includes primary and secondary data storage elements. In Fig. 2, the primary data storage is shown as the right half of each stage. However, it will be appreciated that this delineation is for the purpose of illustration only and is not intended as a limitation.
As Fig. 2 illustrates, as long as the ACCEPT signal into a stage is HIGH, data is transferred from the primary storage elements of the stage to the secondary storage elements of the following stage during any given cycle. Accordingly, although the ACCEPT signal into Stage F is LOW, the ACCEPT
signal into all other stages is HIGH so that the data D1, D2 and D3 is shifted forward one stage in Cycle 2 and the data Dr. is shifted into the first Stage A.
Up to this point, the pipeline embodiment shown in Fig. 2 acts in a manner similar to the pipeline embodiment shown in Fig. 1. The ACCEPT signal from Stage F into Stage E, however, is HIGH even though the ACCEPT signal into Stage F
is LOW. As is explained below, because of the secondary storage elements, it is not necessary for the LOW ACCEPT
signal tO propagate upstream beyond Stage F. Moreover, by leaving the ACCEPT signal into Stage E HIGH, Stage F signals that it is ready to accept new data. Since Stage F is not able to transfer the data D1 in its primary storage elements downstream (the ACCEPT signal into Stage F is LOW) in Cycle 3, Stage E must, therefore, transfer the data D2 into the secondary storage elements of Stage F. Since both the primary and the secondary storage elements of Stage F now contain valid data that cannot be passed on, the ACCEPT
signal from Stage F into Stage E is set LOW. Accordingly, this represents a propagation of the LOW ACCEPT signal back only one stage relative to Cycle 2, whereas this ACCEPT
signal had to be propagated back all the way to Stage C in the embodiment shown in Fig. 1.
Since Stages A-E are able to pass on their data, the ACCEPT signals from the stages into their immediately preceding neighbors are set HIGH. Consequently, the data D3 and D4 are shifted one stage to the right so that, in Cycle 4, they are loaded into the primary data storage elements of Stage E and Stage C, respectively. Althouqh Stage E now contains valid data D3 in its primary storage elements, its secondary storage elements can still be used to store other data without risk of overwriting any valid data.
Assume now, as before, that the ACCEPT signal into Stage F becomes HIGH in Cycle 4. This indicates that the downstream device to which the pipeline passes data is ready to accept data from the pipeline. Stage F, however, has set its ACCEPT signal LOW and, thus, indicates to Stage E that Stage F is not prepared to accept new data. Observe that the ACCEPT signals for each cycle indicate what will "happen" in the next cycle, that is, whether data will be passed on (ACCEPT HIGH) or whether data must remain in place (ACCEPT
LOW). Therefore, from Cycle 4 to Cycle 5, the data D1 is passed from Stage F to the following device, the data D2 is shifted from secondary to primary storage in Stage F, but the data D3 in Stage E is not transferred to Stage F. The data D4 and D5 can be transferred into the following pipeline stages as normal since the following stages have their ACCEPT

~ ` 2145156 signals HIGH.
Comparing the state of the pipeline in Cycle 4 and Cycle 5, it can be seen that the provision of secondary storage elements, enables the pipeline embodiment shown in Fig. 2 to expand, that is, to free up data storage elements into which valid data can be advanced. For example, in Cycle 4, the data blocks D1, D2 and D3 form a "solid wall" since their data cannot be transferred until the ACCEPT signal into Stage F goes HIGH. Once this signal does become HIGH, however, data Dl is shifted out of the pipeline, data D2 is shifted into the primary storage elements of Stage F, and the secondary storage elements of Stage F become free to accept new data if the following device is not able to receive the data D2 and the pipeline must once again "compress." This is shown in Cycle 6, for which the data D3 has been shifted into the secondary storage elements of Stage F and the data D4 has been passed on from Stage D to Stage E as normal.
Figs. 3a(1), 3a(2), 3b(1) and 3b(2) (which are referred to collectively as Fig. 3) illustrate generally a preferred embodiment of the pipeline. This preferred embodiment implements the structure shown in Fig. 2 using a two-phase, non-overlapping clock with phases o0 and 01. Although a two-phase clock is preferred, it will be appreciated that it is also possible to drive the various embodiments of the invention using a clock with more than two phases.
As shown in Fig. 3, each pipeline stage is represented as having two separate boxes which illustrate the primary and secondary storage elements. Also, although the VALID signal and the data lines connect the various pipeline stages as before, for ease of illustration, only the ACCEPT signal is shown in Fig. 3. A change of state during a clock phase of certain of the ACCEPT signals is indicated in Fig. 3 using an upward-pointing arrow for changes from LOW to HIGH.
Similarly, a downward-pointing arrow for changes from HIGH to '_ 2145156 LOW. Transfer of data from one storage element to another is indicated by a large open arrow. It is assumed that the 'JALID signal out of the primary or secondary storage elements of any given stage is HIGH whenever the storage elements contain valid data.
In Fig. 3, each cycle is shown as consisting of a full period of the non-overlapping clock phases aO and ~1. As is explained in greater detail below, data is transferred from the secondary storage elements (shown as the left box in each stage) to the primary storage elements (shown as the right box in each stage) during clock cycle 01, whereas data is transferred from the primary storage elements of one stage to the secondary storage elements of the following stage during the clock cycle oO. Fig. 3 also illustrates that the primary and secondary storage elements in each stage are further connected via an internal acceptance line to pass an ACCEPT
signal in the same manner that the ACCEPT signal is passed from stage to stage. In this way, the secondary storage element will know when it can pass its date to the primary storage element.
Fig. 3 shows the ~1 phase of Cycle 1, in which data D1, D2 and D3, which were previously shifted into the secondary storage elements of Stages E, D and B, respectively, are shifted into the primary storage elements of the respective 2~ stage. During the ~1 phase of Cycle 1, the pipeline, therefore" assumes the same configuration as is shown as Cycle 1 of Fig. 2. As before, the ACCEPT signal into Stage F is assumed to be LOW. As Fig. 3 illustrates, however, this means that the ACCEPT signal into the primary storage element 'O of stage F is LOW, but since this storage element does not contain valid data, it sets the ACCEPT signal into its secondary storage element HIGH.
~ he ACCEPT signal from the secondary storage elements of Stage F into the primary storage elements of Stage E is also ~ ` ~145156 set HIGH since the secondary storage elements of Stage F do not contain valid data. As before, since the primary storage elements of Stage F are able to accept data, data in all the upstream primary and secondary storage elements can be shifted downstream without any valid data being overwritten.
The shift of data from one stage to the next takes place during the next ~0 phase in Cycle 2. For example, the valid data D1 contained in the primary storage element of Stage E
is shifted into the secondary storage element of Stage F, the data D4 is shifted into the pipeline, that is, into the secondary storage element of Stage A, and so forth.
The primary storage element of Stage F still does not contain valid data during the 00 phase in Cycle 2 and, therefore, the ACCEPT signal from the primary storage elements into the secondary storage elements of Stage F
remains HIGH. During the 01 phase in Cycle 2, data can therefore be shifted yet another step to the right, i.e., from the secondary to the primary storage elements within each stage.
However, once valid data is loaded into the primary storage elements of Stage F, if the ACCEPT into Stage F from the downstream device is still LOW, it is not possible to shift data out of the secondary storage element of Stage F
without overwriting and destroying the valid data D1. The ACCEPT signal from the primary storage elements into the secondary storage elements of Stage F therefore goes LOW.
Data D2, however, can still be shifted into the secondary storage of Stage F since it did not contain valid data and its ACCEPT signal out was HIGH.
During the 01 phase of Cycle 3, it is not possible to shift data D2 into the primary storage elements of Stage F, although data can be shifted within all the previous stages.
Once valid data is loaded into the secondary storage elements of Stage F, however, Stage F is not able to pass on this 21451~6 data. It signals this event setting its ACCEPT signal out LOW.
Assuming that the ACCEPT signal into Stage F remains LOW, data upstream of Stage F can continue to be shifted between stages and within stages on the respective clock phases until the next valid data block D3 reaches the primary storage elements of Stage E. As illustrated, this condLtion is reached during the al phase of Cycle 4.
During the ~0 phase of Cycle 5, data D3 has been loaded into the primary storage element of Stage E. Since this data cannot be shifted further, the ACCEPT signal out of the primary storage elements of Stage E is set LOW. Upstream data can be shifted as normal.
Assume now, as in Cycle 5 of Fig. 2, that the device connected downstream of the pipeline is able to accept pipeline data. It signals this event by setting the ACCEPT
signal into pipeline Stage F HIGH during the 01 phase of Cycle 4. The primary storage elements of Stage F can now shift data to the right and they are also able to accept new data. Hence, the data Dl was shifted out during the ol phase of Cycle 5 so that the primary storage elements of Stage F no longer contain data that must be saved. During the 01 phase of Cycle 5, the data D2 is, therefore, shifted within Stage F from the secondary storage elements to the primary storage elements. The secondary storage elements of Stage F are also able to accept new data and signal this by setting the ACCEPT
signal into the primary storage elements of Stage E HIGH.
During transfer of data within a stage, that is, from its secondary to its primary storage elements, both sets of storage elements will contain the same data, but the data in the secondary storage elements can be overwritten with no data loss since this data will also be held in the primary storage elements. The same holds true for data transfer from the primary storage elements of one stage into the secondary ~ 2145156 storage elements of a subsequent stage.
Assume now, that the ACCEPT signal into the primary storage elements of Stage F goes LOW during the ~1 phase in Cycle 5. This means that Stage F is not able to transfer the data D2 out of the pipeline. Stage F, consequently, sets the ACCEPT signal from its primary to its secondary storage elements LOW to prevent overwriting of the valid data D2.
The data D2 stored in the secondary storage elements of Stage F, however, can be overwritten without loss, and the data D3, is therefore, transferred into the secondary storage elements of Stage F during the ~0 phase of Cycle 6. Data D4 and D5 can be shifted downstream as normal. Once valid data D3 is stored in Stage F along with data D2, as long as the ACCEPT
signal into the primary storage elements of Stage F is LOW, neither of the secondary storage elements can accept new data, and it signals this by setting the ACCEPT signal into Stage E LOW.
When the ACCEPT signal into the pipeline from the downstream device changes from LOW to HIGH or vice versa, this change does not have to propagate upstream within the pipeline further than to the immediately preceding storage elements (within the same stage or within the preceding pipeline stage). Rather, this change propagates upstream ~ithin the pipeline one storage element block per clock phase.
As this example illustrates, the concept of a "stage" in the pipeline structure illustrated in Fig. 3 is to some extent a matter of perception. Since data is transferred within a stage (from the secondary to the primary storage ~O elements) as it is between stages (from the primary storage elements of the upstream stage into the secondary storage elements of the neighboring downstream stage), one could just as well consider a stage to consist of "primary" storage elements followed by "secondary storage elements~ instead of ` 21~S156 as illustrated in Fig. 3. The concept of "primary" and "secondary" storage elements is, therefore, mostly a question of labeling. In Fig. 3, the "primary" storage elements can also be referred to as "output" storage elements, since they are the elements from which data is transferred out of a stage into a following stage or device, and the "secondary"
storage elements could be "input" storage elements for the same stage.
In explaining the aforementioned embodiments, as shown in Figs. 1-3, only the transfer of data under the control of the ACCEPT and VALID signals has been mentioned. It is to be further understood that each pipeline stage may also process the data it has received arbitrarily before passing it between its internal storage elements or before passing it to the following pipeline stage. Therefore, referring once again to Fig. 3, a pipeline stage can, therefore, be defined as the portion of the pipeline that contains input and output storage elements and that arbitrarily processes data stored in its storage elements.
Furthermore, the "device" downstream from the pipeline Stage F, need not be some other type of hardware structure, but rather it can be another section of the same or part of another pipeline. As illustrated below, a pipeline stage can set its ACCEPT signal LOW not only when all of the downstream storage elements are filled with valid data, but also when a stage requires more than one clock phase to finish processing its data. This also can occur when it creates valid data in one or both of its storage elements. In other words, it is not necessary for a stage simply to pass on the ACCEPT signal based on whether or not the immediately downstream storage elements contains valid data that cannot be passed on.
Rather, the ACCEPT signal itself may also be altered within the stage or, by circuitry external to the stage, in order to control the passage of data between adjacent storage ` 2145156 elements. The VALID signal may also be processed in an analogous manner.
A great advantage of the two-wire interface (one wire for each of the VALID and ACCEPT signals) is its ability to control the pipeline without the control signals needing to propagate back up the pipeline all the way to its beginning stage. Referring once again to Fig. 1, Cycle 3, for example, although stage F "tells" stage E that it cannot accept data, and stage E tells stage D, and stage D tells stage C.
Indeed, if there had been more stages containing valid data, then this signal would have propagated back even further along the pipeline. In the embodiment shown in Fig. 3, Cycle 3, the LOW ACCEPT signal is not propagated any further upstream than to Stage E and, then, only to its primary storage elements.
As described below, this embodiment is able to achieve this flexibility without adding significantly to the silicon area that is required to implement the design. Typically, each latch in the pipeline used for data storage requires only a single extra transistor (which lays out very efficiently in silicon). In addition, two extra latches and a small number of gates are preferably added to process the ACCEPT and VALID signals that are associated with the data . latches in each half-stage.
25Fig. 4 illustrates a hardware structure that implements a stage as shown in Fig. 3.
By way of example only, it is assumed that eight-bit data is to be transferred (with or without further manipulation in optional combinatorial logic circuits) in parallel through '0 the pipeline. However, it will be appreciated that either more or less than eight-bit data can be used in practicing the invention. Furthermore, the two-wire interface in accordance with this embodiment is, however, suitable for use with any data bus width, and the data bus width may even ~ - 21~al56 change from one stage to the next if a particular application so requires. The interface in accordance with this embodiment can also be used to process analog signals.
As discussed previously, while other conventional timing arrangements may be used, the interface is preferably controlled by a two-phase, non-overlapping clock. In Figs.
4-9, these clock phase signals are referred to as PH0 and PHl. In Fig. 4, a line is shown for each clock phase signal.
Input data enters a pipeline stage over a multi-bit data bus IN_DATA and is transferred to a following pipeline stage or to subsequent receiving circuitry over an output data bus OUT_DATA. The input data is first loaded in a manner described below into a series of input latches (one for each input data signal) collectively referred to as LDIN, which constitute the secondary storage elements described above.
In the illustrated example of this embodiment, it is assumed that the Q outputs of all latches follow their D
inputs, that is, they are "loaded", when the clock input is HIGH, i.e., at a loqic "1" level. Additionally, the Q
outputs hold their last values. In other words, the Q
outputs are "latched" on the falling edge of their respective clock signals. Each latch has for its clock either one of two non-overlapping clock signals PH0 or PHl (as shown in Fig. 5), or the logical AND combination of one of these clock signals PH0, PHl and one logic signal. The invention works equally well, however, by providing latches that latch on the rising edges of the clock signals, or any other known latching arrangement, as long as conventional methods are applied to ensure proper timing of the latching operations.
30The output data from the input data latch LDIN passes via an arbitrary and optional combinatorial logic circuit Bl, which may be provided to convert output data from input latch LDIN into intermediate data, which is then later loaded in an output data latch LDOUT, which comprises the primary stora~e . 2145156 elements descrlbed above. The output from the output data latch LDOUT may similarly pass through an arbitrary and optional combinatorial logic circuit B2 before being passed onward as OUT_DATA to the next device downstream. This may be another pipeline stage or any other device connected to the pipeline.
In the practice of the present invention, each stage of the pipeline also includes a validation input latch LVIN, a validation output latch LVOUT, an acceptance input latch LAIN, and an acceptance output latch LAOUT. Each of these four latches is, preferably, a simple, single-stage latch.
The outputs from latches LVIN, LVOUT, LAIN and LAOUT are, respectively, QVIN, QVOUT, QAIN, QAOUT. The output signal QVIN from the validation input latch is connected either directly as an input to the validation output latch LVOUT, or via intermediate logic devices or circuits that may alter the signal.
Similarly, the output validation signal QVOUT of a given stage may be connected either directly to the input of the validation input latch QVIN of the following stage, or via intermediate devices or logic circuits, which may alter the validation signal. This output QVIN is also connected to a logic gate (to be described below), whose output is connected to the input of the acceptance input latch LAIN. The output QAOUT from the acceptance output latch LAOUT is connected to a similar logic gate (described below), optionally via another logic gate.
As shown in Fig. 4, the output validation signal QVOUT
forms an OUT_VALID signa that can be received by subsequent stages as an IN_VALID signal, or simply to indicate valid data to subsequent circuity connected to the pipeline. The readiness of the following circuit or stage to accept data is indicated to each stage as the signal OUT ACCEPT, which is connected as the input to the acceptance output latch LAOUT, ~' 21~5156 preferably via logic circuitry, which is described below.
Similarly, the output QAOUT of the acceptance output latch LAOUT is connected as the input to the acceptance lnput latch LAIN, preferably via logic circuitry, which is described below.
In practicing the present invention, the output signals QVIN, QVOUT from the validation latches LVIN, LVOUT are combined with the acceptance signals QAOUT, OUT ACCEPT, respectively, to form the inputs to the acceptance latches LAIN, LAOUT, respectively. In the embodiment illustrated in Fig. 4, these input signals are formed as the logical NAND
combination of the respective validation signals QVIN, QVOUT, with the logical inverse of the respective acceptance output signals QAOUT, OUT_ACCEPT. Conventional logic gates, NAND1 and NAND2, perform the NAND operation, and the inverters INV1, INV2 form the logical inverses of the respective acceptance signals.
As is well known in the art of digital design, the output from a NAND gate is a logical "1" when any or all of its input signals are in the logical "0" state. The output from a NAND gate is, therefore, a logical "0" only when all of its inputs are in the logical "1" state. Also well known in the art, is that the output of a digital inverter such as INVl is a logical "1" when its input signal is a "0" and is a "0"
when its input signal is a "1"
The inputs to the NAND gate NANDl are, therefore, QVIN and NOT (QAOUT), where "NOT" indicates binary inversion. Using known techniques, the input to the acceptance latch LAIN can be resolved as follows:
NAND(QVIN,NOT(QAOUT)) = NOT(QVIN) OR QAOUT
In other words, the combination of the inverter INV1 and the NAND gate NANDl is a logical "1" either when the signal QvIN is a "0" or the signal QAOUT is a "1", or both. The gate NAND1 and the inverter INV1 can, therefore, be ` 214S15G

implemented by a single OR gate that has one of its inputs tied directly to the QAOUT output of the acceptance latch LAOUT and its other input tied to the inverse of the output signal QVIN of the validation input latch LVIN.
As is well known in the art of digital design, many latches suitable for use as the validation and acceptance latches may have two outputs, Q and NOT(Q), that is, Q and its logical inverse. If such latches are chosen, the one input to the OR gate can, therefore, be tied directly to the NOT(Q) output of the validation latch LVIN. The gate NANDl and the inverter INV1 can be implemented using well known conventional techniques. Depending on the latch architecture used, however, it may be more efficient to use a latch without an inverting output, and to provide instead the gate NAND1 and the inverter INV1, both of which also can be implemented efficiently in a silicon device. Accordingly, any known arrangement may be used to generate the Q signal and/or its logical inverse.
~he data and validation latches LDIN, LDOUT, LVIN and LVOUT, load their respective data inputs when both clock signals (PH0 at the input side and PH1 at the output side) and the output from the acceptance latch of the same side are logical "1". Thus, the clock signal (PHO for the input latches LDIN and LVIN) and the output of the respective acceptance latch (in this case, LAIN) are used in a logical AND manner and data is loaded only when they are both logical " 1 " .
In particular applications, such as CMOS implementations of the latches, the logical AND operation that controls the loading (via the illustrated CK or enabling "input") of the latches can be implemented easily in a conventional manner by connecting the respective enabling lnput signals (for example, PH0 and QAIN for the latches LVIN and LDIN), to the gates of MOS transistors connected in series in the input ~, - 21~515G

lines of the latches. Consequently, is necessary to provide an actual logic AND gate, which might cause problems of timing due to propagation delay in high-speed applications.
The AND gate shown in the figures, therefore, only indicates the logical function to be performed in generating the enable signals of the various latches.
Thus, the data latch LDIN loads input data only when PH0 and QAIN are both "1". It will latch this data when either of these two signals goes to a "0".
lo Although only one of the clock phase signals PH0 or PH1, is used to clock the data and validation latches at the input (and output) side of the pipeline stage, the other clock phase signal is used, directly, to clock the acceptance latch at the same side. In other words, the acceptance latch on either side (input or output) of a pipeline stage is preferably clocked "out of phase" with the data and validation latches on the same side. For example, PHl is used to clock the acceptance input latch, although PH0 ls used in generating the clock signal CK for the data latch LDIN and the validation latch LVIN.
As an example of the operation of a pipeline augmented by the two-wire validation and acceptance circuitry assume that no valid data is initially presented at the input to the circuit, either from a preceding pipeline stage, or from a transmission device. In other words, assume that the validation input signal IN_VALID to the illustrated stage has not gone to a "1" since the system was most recently reset.
Assume further that several clock cycles have taken place since the system was last reset and, accordingly, the circuitry has reached a steady-state condition. The validatlon input signal QVIN from the validation latch LVIN
is, therefore, loaded as a "0" during the next positive period of the clock PH0. The input to the acceptance input latch LAIN (via the gate NANDl or another equivalent gate), `` 214~15G

is, therefore, loaded as a "1" during the next positive period of the clock signal PH1. In other words, since the data in the data input latch LDIN is not valid, the stage signals that it is ready to accept input data (since it does not hold any data worth saving).
In this example, note that the signal IN_ACCEPT is used to enable the data and validation latches LDIN and LVIN. Since the signal IN_ACCEPT at this time is a "1", these latches effectively work as conventional transparent latches so that whatever data is on the I~_DATA bus simply is loaded into the data latch LDIN as soon as the clock signal PH0 goes to a "1". Of course, this invalid data will also be loaded into the next data latch LDOUT of the following pipeline stage as long as the output QAOUT from its acceptance latch is a "1".
Hence, as long as a data latch does not contain valid data, it accepts or "loads" any data presented to it during the next positive period of its respective clock signal. On the other hand, such invalid data is not loaded in any stage for which the acceptance signal from its corresponding acceptance latch is low (that is, a "0"). Furthermore, the output signal from a validation latch (which forms the validation input signal to the subsequent validation latch) remains a "O" as long as the corresponding IN_VALID (or QVIN) signal to the validation latch is low.
When the input data to a data latch is valid, the validation signal IN_VALID indicates this by rising to a "1".
The output of the corresponding validation latch then rises to a "1" on the next rising edge of its respective clock phase signal. For example, the validation input signal QVIN
of latch LVIN rises to a "l" when its corresponding IN_VALID
signal goes high (that is, rises to a "1") on the next rislng edge of the clock phase signal PH0.
Assume now, instead, that the data input latch LDI~
contains valid data. If the data output latch LDOUT is ready to accept new data, its acceptance signal QAOUT will be a "1". In this case, during the next positive period of the clock signal PHl, the data latch LDOUT and validation latch LVOUT will be enabled, and the data latch LDOUT will load the data present at its input. This will occur before the next rising edge of the other clock signal PH0, since the clock signals are non-overlapping. At the next rising edge of PH0, the preceding data latch (LDIN) will, therefore, not latch in new input data from the preceding stage until the data output latch LDOUT has safely latched the data transferred from the latch LDIN.
Accordingly, the same sequence is followed by every adjacent pair of data latches (within a stage or between adjacent stages) that are able to accept data, since they will be operating based on alternate phases of the clock.
Any data latch that is not ready to accept new data because it contains valid data that cannot yet be passed, will have an output acceptance signal (the QA output from its acceptance latch LA) that is LOW, and its data latch LDIN or LDOUT will not be loaded. Hence, as long as the acceptance signal (the output from the acceptance latch) of a given stage or side (input or output) of a stage is LOW, its corresponding data latch will not be loaded.
Fig. 4 also shows a reset feature included in a preferred embodiment. In the illustrated example, a reset signal NOTRESETO is connected to an inverting reset input R
(inversion is hereby indicated by a small circle, as is conventional) of the validation output latch LVOUT. As is well known, this means that the validation latch LVOUT will be forced to output a "0" whenever the reset signal NOTRESET0 becomes a "0". One advantage of resetting the latch when the reset signal goes low (becomes a "0") is that a break in transmission will reset the latches. They will then be in their "null" or reset state whenever a valid transmission Z1~156 begins and the reset signal goes HIGH. The reset signal NOTRESET0, therefore, operates as a digital "ON/OFF" switch, such that it must be at a HIGH value in order to activate the pipeline.
Note that it is not necessary to reset all of the-latches that hold valid data in the pipeline. As depicted in Fig. 4, the validation input latch LVIN is not directly reset by the reset signal NOTRESET0, but rather is reset indirectly.
Assume that the reset signal NOTRESET0 drops to a "0". The validation output signal QVOUT also drops to a "0", regardless of its previous state, whereupon the input to the acceptance output latch LAOUT (via the gate NAND1) goes HIGH.
The acceptance output signal QAOUT also rises to a "1". This QAOUT value of "1" is then transferred as a "1" to the input of the acceptance input latch LAIN regardless of the state of the validation input signal QVIN. The acceptance input signal QAIN then rises to a "1" at the next rising edge of the clock signal PH1. Assuming that the validation signal IN_VALID has been correctly reset to a "0", then upon the subsequent rising edge of the clock signal PH0, the output from the validation latch LVIN will become a "0", as it would have done if it had been reset directly.
As this example illustrates, it is only necessary to reset the validation latch in only one side of each stage (including the final stage) in order to reset all validation latches. In fact, in many applications, it will not be necessary to reset every other validation latch: If the reset signal NOTRESET0 can be guaranteed to be low during more than one complete cycle of both phases PH0, PHl of the clock, then the "automatic reset" (a backwards propagation of the reset signal) will occur for validation latches in preceding pipeline stages. Indeed, if the reset signal is held low for at least as many full cycles of both phases of the clock as there are pipeline stages, it will only be 21451~6 necessary to directly reset the validation output latch in the final pipeline stage.
Figs. 5a and 5b (referred to collectively as Fig. 5) illustrate a timing diagram showing the relationship between the non-overlapping clock signals PH0, PH1, the effect of the reset signal, and the holding and transfer of data for the different permutations of validation and acceptance signals into and between the two illustrated sides of a pipeline stage configured in the embodiment shown in Fig. 4. In the example illustrated in the timing diagram of Fig. 5, it has been assumed that the outputs from the data latches LDIN, LDOUT are passed without further manipulation by intervening logic blocks Bl, B2. This is by way of example and not necessarily by way of limitation. It is to be understood that any combinatorial logic structures may be included between the data latches of consecutive pipeline stages, or between the input and output sides of a single pipeline stage. The actual illustrated values for the input data (for example the HEX data words "aa" or "04") are also merely illustrative. As is mentioned above, the input data bus may have any width (and may even be analog), as long as the data latches or other storage devices are able to accommodate and latch or store each bit or value-of the input word.

Preferred Data Structure - "tokens"
In the sample application shown in Fig. 4, each stage processes all input data, since there is no control circuitry that excludes any stage from allowing input data to pass through its combinatorial logic block Bl, B2, and so forth.
To provide greater flexibility, the present invention includes a data structure in which ~tokens~ are used to distribute data and control information throughout the system. Each token consists of a series of binary bits separated into one or more blocks of token words.

Furthermore, the bits fall into one of three types: address bits (A), data bits (D), or an extension bit (E). Assume by way of example and, not necessarily by way of limitation, that data is transferred as words over an 8-bit bus with a 1-bit extension bit line. An example of a four-word token is, in order of transmission:

First word: E A A A D D D D D
Second word: E D D D D D D D D
Third word: E D D D D D D D D
Fourth word: E D D D D D D D D

Note that the extension bit E is used as an addition (preferably) to each data word. In addltion, the address field can be of variable length and is preferably transmitted just after the extension bit of the first word.
Tokens, therefore, consist of one or more words of (binary) digital data in the present invention. Each of these words is transferred in sequence and preferably in parallel, although this method of transfer is not necessary:
serial data transfer is also possible using known techniques.
For example, in a video parser, control information is transmitted in parallel, whereas data is transmitted serially.
As the example illustrates, each token has, preferably at the start, an address field (the string of A-bits) that identifies the type of data that is contained in the token.
In most applications, a single word or portion of a word is sufficient to transfer the entire address field, but this is not necessary in accordance with the invention, so long as logic circuitry is included in the corresponding pipeline stages that is able to store some representation of partial address fields long enough for the stages to receive and decode the entire address field.

~- 214515~
i Note that no dedicated wires or registers are required to transmit the address field. It is transmitted using the data bits. As is explained below, a pipeline stage will not be slowed down if it is not intended to be activated by the particular address field, i.e., the stage will be able to pass along the token without delay.
The remainder of the data in the token following the address field is not constrained by the use of tokens. These D-data bits may take on any values and the meaning attached to these bits is of no importance here. That is, the meaning of the data can vary, for example, depending upon where the data is positioned within the system at a particular point in time. The number of data bits D appended after the address field can be as long or as short as required, and the number 1~ of data words in different tokens may vary greatly. The address field and extension bit are used to convey control signals to the pipeline stages. Because the number of words ln the data field (the string of D bits) can be arbitrary, as can be the information conveyed in the data field can also vary accordingly. The explanation below is, therefore, directed to the use of the address and extension bits.
In the present invention, tokens are a particularly useful data structure when a number of blocks of circuitry are connected together in a relatively simple configuration. The 2~ simplest configuration is a pipeline of processing steps.
For example, in the one shown in Fig. 1. The use of tokens, however, is not restricted to use on a pipeline structure.
Assume once again that each box represents a complete pipeline stage. In the pipeline of Fig. 1, data flows from left to right in the diagram. Data enters the machine and passes into processing Stage A. This may or may not modlfy the data and it then passes the data to Stage B. The modification, if any, may be arbitrarily complicated and, in general, there will not be the same number of data items flowing into any stage as flow out. Stage B modifies the data again and passes it onto Stage C, and so forth. In a scheme such as this, it is impossible for data to flow in the opposite direction, so that, for example, Stage C cannot pass data to Stage A. This restriction is often perfectly acceptable.
On the other hand, it is very desirable for Stage A to be able to communicate information to Stage C even though there is no direct connection between the two blocks. Stage A and C communication is only via Stage B. One advantage of the tokens is their ability to achieve this kind of communication. Since any processing stage that does not recognize a token simply passes it on unaltered to the next block.
According to this example, an extension bit is transmitted along with the address and data fields in each token so that a processing stage can pass on a token (which can be of arbitrary length) without having to decode its address at all. According to this example, any token in which the 2~ extension bit is HIGH (a "1") is followed by a subsequent word which is part of the same token. This word also has an extension bit, which indicates whether there is a further token word in the token. When a stage encounters a token word whose extension bit is LOW (a '~0"), it is known to be the last word of the token. The next word is then assumed to be the first word of a new token.
Note that although the simple pipeline of processing stages is particularly useful, it will be appreciated that tokens may be applied to more complicated configurations of processing elements. An example of a more complicated processing element is described below.
It is not necessary, in accordance with the present invention, to use the state of the extension bit to signal the last word of a given token by giving it an extension bit ` 2145156 set to "O". One alternative to the preferred scheme is to move the extension bit so that it indicates the first word of a token instead of the last. This can be accomplished with appropriate changes in the decoding hardware.
The advantage of using the extension bit of the present invention to signal the last word in a token rather than the first, is that it is often useful to modify the behavior of a block of circuitry depending upon whether or not a token has extension bits. An example of this is a token that activates a stage that processes video quantization values stored in a quantization table (typically a memory device).
For example, a table containing 64 eight-bit arbitrary binary integers.
In order to load a new quantization table into the quantizer stage of the pipeline, a "QUANT_TABLE" token is sent to the quantizer. In such a case the token, for example, consists of 65 token words. The first word contains the code "QUANT_TABLE", i.e., build a quantization table.
This is followed by 64 words, which are the integers of the quantization table.
When encoding video data, it is occasionally necessary to transmit such a quantization table. In order to accomplish this function, a QUANT_TABLE token with no extension words can be sent to the quantizer stage. On seeing this token, and noting that the extension bit of its first word is LOW, the quantizer stage can read out its quantization table and construct a QUANT TABLE token which includes the 64 quantization table values. The extension bit of the first word (which was LOW) is changed so that it is HIGH and the token continues, with HIGH extension bits, until the new end of the token, indicated by a LOW extension bit on the sixty fourth quantization table value. This proceeds in the typical way through the system and is encoded into the bit strea~.

Continuing with the example, the quantizer may either load a new quantization table into its own memory device or read out its table depending on whether the first word of the QUANT_TABLE token has its extension bit set or not.
The choice of whether to use the extension bit to signal the first or last token word in a token will, therefore, depend on the system in which the pipeline will be used.
Both alternatives are possible in accordance with the invention.
Another alternative to the preferred extension bit scheme is to include a length count at the start of the token. Such an arrangement may, for example, be efficient if a token is very long. For example, assume that a typical token in a given application is 1000 words long. Using the illustrated extension bit scheme (with the bit attached to each token word), the token would require 1000 additional bits to contain all the extension bits. However, only ten bits would be required to encode the token length in binary form.
Although there are, therefore, uses for long tokens, experience has shown that there are many uses for short tokens. Here the preferred extension bit scheme is advantageous. If a token is only one word long, then only one bit is required to signal this. However, a counting scheme would typically require the same ten bits as before.
Disadvantages of a length count scheme include the following: 1) it is inefficient for short tokens; 2) it places a maximum length restrictlon on a token (with only ten bits, no more than 1023 words can be counted); 3) the length of a token must be known in advance of generating the count (which is presumably at the start of the token); 4) every block of circuitry that deals with tokens would need to be provided with hardware to count words; and 5) if the count should get corrupted (due to a data transmission error) it is not clear whether recovery can be achieved.

~ 21~1515lo The advantages of the extension bit scheme in accordance with the present invention include: 1) pipeline stages need not include a block of circuitry that decodes every token since unrecognized tokens can be passed on correctly by considering only the extension bit; 2) the coding of the extension bit is identical for all tokens; 3) there is no limit placed on the length of a token; 4) the scheme is efficient (in terms of overhead to represent the length of the token) for short tokens; and 5) error recovery is naturally achieved. If an extension bit is corrupted then one random token will be generated (for an extension bit corrupted from "1" to "0") or a token will be lost (extension bit corrupted "0" to "1"). Furthermore, the problem is localized to the tokens concerned. After that token, correct operation is resumed automatically.
In addition, the length of the address field may be varied. This is highly advantageous since it allows the most common tokens to be squeezed into the minimum number of words. This, in turn, is of great importance in video data pipeline systems since it ensures that all processing stages can be continuously running at full bandwidth.
In accordance to the present invention, in order to allow variable length address fields, the addresses are chosen so . that a short address followed by random data can never be 2~ confused with a longer address. The preferred technique for encoding the address field (which also serves as the "code"
for activating an intended pipeline stage) is the well-known technique first described by Huffman, hence the common name "Huffman Code". Nevertheless, it will be appreciated by one of ordinary skill in the art, that other coding schemes may also be successfully employed.
Although Huffman encoding is well understood in the field of digital design, the following example provides a general background:

4 ~

Huffman codes consist of words made up of a string of symbols (in the context of digital systems, such as the present invention, the symbols are usually binary digits).
The code words may have variable length and the special property of Huffman code words is that a code word is chosen so that none of the longer code words start with the symbols that form a shorter code word. In accordance with the invention, token address fields are preferably (although not necessarily) chosen using known Huffman encoding techniques.
Also in the present invention, the address field preferably starts in the most significant bit (MSB~ of the first word token. (Note that the designation of the MSB is arbitrary and that this scheme can be modified to accommodate various designations of the MSB.) The address field continues through contiguous bits of lesser significance.
If, in a given application, a token address requires more than one token word, the least significant bit in any given word the address field will continue in the most significant bit of the next word. The minimum length of the address field is one bit.
Any of several known hardware structures can be used to generate the tokens used in the present invention. One such structure is a microprogrammed state machine. However, known microprocessors or other devices may also be used.
The principle advantage of the token scheme in accordance with the present invention, is its adaptability to unanticipated needs. For example, if a new token is introduced, it is most likely that this will affect only a small number of pipeline stages. The most likely case lS
~0 that only two stages or blocks of circuitry are affected~
i.e., the one block that generates the tokens in the first place and the block or stage that has been newly designed or modified to deal with this new token. Note that it is n3t necessary to modify any other pipeline stages. Rather, these `. 214~

will be able to deal with the new token without modification to their designs because they will not recognize it and will, accordingly, pass that token on unmodified.
This ability of the present invention to leave substantially existing designed devices unaffected has clear advantages. It may be possible to leave some semiconductor chips in a chip set completely unaffected by a design improvement in some other chips in the set. This is advantageous both from the perspective of a customer and from that of a chip manufacturer. Even if modifications mean that all chips are affected by the design change (a situation that becomes increasingly likely as levels of integration progress so that the number of chips in a system drops) there will still be the considerable advantage of better time-to-market than can be achieved, since the same design can be reused.
In particular, note the situation that occurs when it becomes necessary to extend the token set to include two word addresses. Even in this case, it is still not necessary to modify an existing design. Token decoders in the pipeline stages will attempt to decode the first word of such a token and will conclude that it does not recognize the token. It will then pass on the token unmodified using the extension bit to perform this operation correctly. It will not attempt to decode the second word of the token (even though this 2~ contains address bits) because it will "assume" that the second word is part of the data field of a token that it does not recognize.
In many cases, a pipeline stage or a connected block of circuitry will modify a token. This usually, but not necessarily, takes the form of modifying the data field of a token. In addition, it is common for the number of data words in the token to be modified, either by removing certain data words or by adding new ones. In some cases, tokens are removed entirely from the token stream.

~ ` 2145156 In most applications, pipeline stages will typically only decode (be activated by) a few tokens; the stage does not recognize other tokens and passes them on unaltered. In a large number of cases, only one token is decoded, the DATA
Token word itself.
In many applications, the operation of a particular stage will depend upon the results of its own past operations. The "state" of the stage, thus, depends on its previous states.
In other words, the stage depends upon stored state information, which is another way of saying it must retain some information about its own history one or more cIock cycles ago. The present invention is well-suited for use in pipelines that include such "state machine" stages, as well as for use in applications in which the latches in the data path are simple pipeline latches.
The suitability of the two-wire interface, in accordance with the present invention, for such "state machine" circuits is a significant advantage of the invention. This is especially true where a data path is being controlled by a state machine. In this case, the two-wire interface technique above-described may be used to ensure that the "current state" of the machine stays in step with the data which it is controlling in the pipeline.
Fig. 6 shows a simplified block diagram of one example of circuitry included in a pipeline stage for decoding a token address field. This illustrates a pipeline stage that has the characteristics of a "state machine". Each word of a token includes an "extension bit" which is HIGH if there are more words in the token or LOW if this is the last word of the token. If this is the last word of a token, the next valid data word is the start of a new token and, therefore, its address must be decoded. The decision as to whether or not to decode the token address in any given word, thus, depends upon knowing the value of the previous extension bit.

~ 214SlSS

For the sake of simplicity only, the two-wire interface (with the acceptance and validation signals and latches) is not illustrated and all details dealing with resetting the circuit are omitted. As before, an 8-bit data word is assumed by way of example only and not by way of limitation.
This exemplifying pipeline stage delays the data bits and the extension bit by one pipeline stage. It also decodes the DATA Token. At the point when the first word of the DATA
Token is presented at the output of the circuit, the signal "DATA_ADDR" is created and set HIGH. The data bits are delayed by the latches LDIN and LDOUT, each of which is repeated eight times for the eight data bits used in this example (corresponding to an 8-input, 8-output latch).
Similarly, the extension bit is delayed by extension bit latches LEIN and LEOUT.
In this example, the latch LEPREV is provided to store the most recent state of the extension bit. The value of the extension bit is loaded into LEIN and is then loaded into LEOUT on the next rising edge of the non-overlapping clock phase signal PHl. Latch LEOUT, thus, contains the value of the current extension bit, but only during the second half of the non-overlapping, two-phase clock. Latch LEPREV, however, loads this extension bit value on the next rising edge of the clock signal PHO, that is, the same signal that enables the extension bit input latch LEIN. The output QEPREV of the latch LEPREV, thus, will hold the value of the extension bit during the previous PHO clock phase.
The five bits of the data word output from the invertinq Q output, plus the non-inverted MD[2], of the latch LDIN are combined with the previous extension bit value QEPREV in a series of logic gates NAND1, NAND2, and NORl, whose operations are well known in the art of digital design. The designation ~N MD[m~ indicates the logical inverse of bit m of the mid-data word MD[7:0]. Using known techniques of ~ . 2145156 Boolean alqebra, it can be shown that the output signal SA
from this logic block (the output from NOR1) is HIGH (a "1") only when the previous extension bit is a "O" (QPREV="O") and the data word at the output of the non-inverting Q latch (the original input word) LDIN has the structure "000001xx", that is, the five high-order bits MD[7]-MD[3] bits are all "0" and the bit MD[2] is a "1" and the bits in the Zero-one positions have any arbitrary value There are, thus, four possible data words (there are four permutations of "xx") that will cause SA and, therefore, the output of the address signal latch LADDR to whose input SA is connected, to become HIGH. In other words, this stage provides an activation signal (DATA ADDR = "1") only when one of the four possible proper tokens is presented and only when the previous extension bit was a zero, that is, the previous data word was the last word in the previous series of token words, which means that the current token word is the first one in the current token.
When the signal QPREV from latch LEPREV is LOW, the value at the output of the latch LDIN is therefore the first word of a new token. The gates NAND1, NAND2 and NOR1 decode the DATA token (000001xx). This address decoding signal SA is, however, delayed in latch LADDR so that the signal DATA_ADDR
has the same timing as the output data OUT DATA and OUT_EXTN.
Fig. 7 is another simple example of a state-dependent pipeline stage in accordance with the present invention, which generates the signal LAST_OUT_EXTN to indicate the value of the previous output extension bit OUT_EXTN. One of the two enabling signals (at the CK inputs) to the present and last extension bit latches, LEOUT and LEPREV, respectively, is derived from the gate AND1 such that these latches only load a new value for them when the data is valid and is being accepted (the Q outputs are HIGH from ~he output validation and acceptance latches LVOUT and LAOVT, ~, 214515~

respectively). In this way, they only hold valid extension bits and are not loaded with spurious values associated with data that is not valid. In the embodiment shown in Fig. 7, the two-wire valid/accept logic includes the OR1 and OR2 gates with input signals consisting of the downstream acceptance signals and the inverting output of the validation latches LVIN and LVOUT, respectively. This illustrates one way in which the gates NAND1/2 and INVl/2 in Fig. 4 can be replaced if the latches have inverting outputs.
Although this is an extremely simple example of a "state-dependent" pipeline stage, i.e., since it depends on the state of only a single bit, it is generally true that all latches holding state information will be updated only when data is actually transferred between pipeline stages. In other words, only when the data is both valid and being accepted by the next stage. Accordingly, care must be taken to ensure that such latches are properly reset.
The generation and use of tokens in accordance with the present invention, thus, provides several advantages over known encoding techniques for data transfer through a pipeline.
First, the tokens, as described above, allow for variable length address fields (and can utilize Huffman coding for example) to provide efficient representation of common tokens.
Second, consistent encoding of the length of a token allows the end of a token (and hence the start of the next token) to be processed correctly (including simple non-manipulative transfer), even if the token is not recognized 30 by the token decoder circuitry in a given pipeline stage.
Third, rules and hardware structures for the handling of unrecognized tokens (that is, for passing them on unmodifiedJ
allow communication between one stage and a downstream stage that is not its nearest nelghbor in the pipeline. This a 150 21451~G

increases the expandability and efficient adaptability of the pipeline since it allows for future changes in the token set without requiring large scale redesigning of existing pipeline stages. The tokens of the present invention are particularly useful when used in conjunction with the two-wire interface that is described above and below.
As an example of the above, Figs. 8a and 8b, taken together (and referred to collectively below as Fig. 8), depict a block diagram of a pipeline stage whose function is as follows. If the stage is processing a predetermined token (known in this example as the DATA token), then it will duplicate every word in this token with the exception of the first one, which includes the address field of the DATA
token. If, on the other hand, the stage is processing any other kind of token, it will delete every word. The overall effect is that, at the output, only DATA Tokens appear and each word within these tokens is repeated twice.
Many of the components of this illustrated system may be the same as those described in the much simpler structures shown in Figs. 4, 6, and 7. This illustrates a significant advantage. More complicated pipeline stages will still enjoy the same benefits of flexibility and elasticity, since the sar,e two-wire interface may be used with little or no adaptation.
2~ The data duplication stage shown in Fig. 8 is merely one example of the endless number of different types of operations that a pipeline stage could perform in any given application. This "duplication stage" illustrates, however, a stage that can form a "bottleneck", so that the pipeline '0 according to this embodiment will "pack together".
A "bottleneck" can be any stage that either takes a relatively long time to perform its operations, or that creates more data in the pipeline than it receives. This example also illustrates that the two-wire accept/valid ~ 2145156 interface according to this embodiment can be adapted very easily to different applications.
The duplication stage shown in Fig. 8 also has two latches LEIN and LEOUT that, as in the example shown in Fig. 6, latch the state of the extension bit at the input and at the output of the stage, respectively. As Fig. 8a shows, the input extension latch LEIN is clocked synchronously with the input data latch LDIN and the validation signal IN_VALID.
For ease of reference, the various latches included in the duplication stage are paired below with their respective output signals:

In the duplication stage, the output from the data latch LDIN forms intermediate data referred to as MID_DATA. This intermediate data word is loaded into the data output latch 1~ LDOUT only when an intermediate acceptance signal (labeled "MID_ACCEPT" in Fig. 8a) is set HIGH.
The portion of the circuitry shown in Fig. 8 below the acceptance latches LAIN, LAOUT, shows the circuits that are added to the basic pipeline structure to generate the various ._ 2145156 internal control signals used to duplicate data. These include a "DATA_TOKEN" signal that indicates that the circuitry is currently processing a valid DATA Token, and a NOT_DUPLICATE signal which is used to control duplication of data. When the circuitry is processing a DATA Token, the NOT DUPLICATE signal toggles between a HIGH and a LOW state and this causes each word in the token to be duplicated once (but no more times). When the circuitry is not processing a valid DATA Token then the NOT_DUPLICATE signal is held in a HIGH state. Accordingly, this means that the token words that are being processed are not duplicated.
As Fig. 8a illustrates, the upper six bits of 8-bit intermediate data word and the output signal QI1 from the latch LI1 form inputs to a group of logic gates NOR1, NOR2, NAND18. The output signal from the gate NAND18 is labeled S1. Using well-known Boolean algebra, it can be shown that the signal S1 is a "0" only when the output signal QI1 is a "1" and the MID_DATA word has the following structure:
"000001xx", that is, the upper five bits are all "O", the bit MID_DATA[2] is a "1" and the bits in the MID_DATA[1] and MID_DATA[0] positions have any arbitrary value. Signal S1, therefore, acts as a "token identification signal" which is low only when the MID DATA signal has a predetermined structure and the output from the latch LIl is a "1". The nature of the latch LI1 and its output QIl is explained further below.
Latch LO1 performs the function of latching the last value of the intermediate extension bit (labeled "MID_EXTN" and as signal S4), and it loads this value on the next rising edge of the clock phase PHO into the latch LI1, whose output is the bit QI1 and is one of the inputs to the token decoding logic group that forms signal S1. Signal S1, as is explained above, may only drop to a "0" if the signal QI1 is a "1" (and the MID_DATA signal has the predetermined structure). Signal '~ `

S1 may, therefore, only drop to a "0" whenever the last extension bit was "0", indicating that the previous token has ended. Therefore, the MID DATA word is the first data word in a new token.
The latches LO2 and LI2 together with the NAND gates NAND20 and NAND22 form storage for the signal, DATA_TOKEN.
In the normal situation, the signal QIl at the input to NAND20 and the signal S1 at the input to NAND22 will both be at logic "1". It can be shown, again by the techniques of Boolean algebra, that in this situation these NAND gates operate in the same manner as inverters, that is, the signal QI2 from the output of latch LI2 is inverted in NAND20 and then this signal is inverted again by NAND22 to form the signal S2. In this case, since there are two logical inversions in this path, the signal S2 will have the same value as QI2.
It can also be seen that the signal DATA_TOKEN at the output of latch LO2 forms the input to latch LI2. As a result, as long as the situation remains in which both QIl and 51 are HIGH, the signal DATA_TOKEN will retain its state (whether "0" or "1"). This is true even though the clock signals PHO and PHl are clocking the latches (LI2 and LO2 respectively). The value of DATA_TOKEN can only change when . one or both of the signals QIl and S1 are "0".
As explained earlier, the signal QI1 will be "0" when the previous extension bit was "0". Thus, it will be "0"
whenever the MID_DATA value is the first word of a token (and, thus, includes the address field for the token). In this situation, the signal Sl may be either "0" or "1". As ,0 explained earlier, signal S1 will be "0" if the MID_DATA word has the predetermined structure that in this example indicates a "DATA" Token. If the MID_DATA word has any other structure, (indicating that the token is some other token, not a DATA Token), S1 will be "1".

~_ 2145156 If QI1 is "0" and S1 is "1", this indicates there is some token other than a DATA Token. As is well known in the field of digital electronics, the output of NAND20 will be "1".
The NAND gate NAND22 will invert this (as previously explained) and the signal S2 will thus be a "0". As a result, this "0" value will be loaded into latch LO2 at the start of the next PH1 clock phase and the DATA_TOKEN signal will become "0", indicating that the circuitry is not processing a DATA token.
If QI1 is "0" and SO is "0", thereby indicating a DATA
token, then the signal S2 will be "1" (regardless of the other input to NAND22 from the output of NAND20). As a result, this "1" value will be loaded into latch LO2 at the start of the next PH1 clock phase and the DATA TOKEN signal will become "1", indicating that the circuitry is processing a DATA token.
The NOT_DUPLICATE signal (the output signal QO3) is similarly loaded into the latch LI3 on the next rising edge of the clock PHO. The output signal QI3 from the latch LI3 is combined with the output signal QI2 in a gate NAND24 to form the signal S3. As before, Boolean algebra can be used to show that the signal S3 is a "0" only when both of the signals QI2 and QI3 have the value "1". If the signal QI2 becomes a "0", that is, the DATA TOKEN signal is a "0", then the signal S3 becomes a "1". In other words, if there is not a valid DATA TOKEN (QI2 = 0) or the data word is not a duplicate (QI3 = 0), then the signal S3 goes high.
Assume now, that the DATA TOKEN signal remains HIGH for more than one clock signal. Since the NOT_DUPLICATE signal (QO3) is "fed back" to the latch LI3 and will be inverted by the gate NAND 24 (since its other input QI2 is held HIGH), the output signal Q03 will toggle between "0" and "1". If there is no valid DATA Token, however, the signal QI2 will be a "0", and the signal S3 and the output QO3, will be forced ~ 214~156 HIGH until the DATE_TOKEN signal once again goes to a "1".
The output QO3 (the NOT_DUPLICATE signal) is also fed back and is combined with the output QA1 from the acceptance latch LAIN in a series of logic gates (NAND16 and INV16, which together form an AND gate) that have as their output a "1", only when the signals QA1 and QO3 both have the value "1".
As Fig. 8a shows, the output from the AND gate (the gate NAND16 followed by the gate INV16) also forms the acceptance signal, IN_ACCEPT, which is used as described above in the two-wire interface structure.
The acceptance signal IN_ACCEPT is also used as an enabling signal to the latches LDIN, LEIN, and LVIN. As a result, if the NOT_DUPLICATE signal is low, the acceptance signal IN_ACCEPT will also be low, and all three of these 1~ latches will be disabled and will hold the values stored at their outputs. The stage will not accept new data until the NOT_DUPLICATE signal becomes HIGH. This is in addition to the requirements described above for forcing the output from the acceptance latch LAIN high.
As long as there is a valid DATA_TOKEN (the DATA_TOKEN
signal QO2 is a "1"), the signal QO3 will toggle between the HIGH and LOW states, so that the input latches will be enabled and will be able to accept data, at most, during every other complete cycle of both clock phases PH0, PH1.
The additional condition that the following stage be prepared to accept data, as indicated by a "HIGH" OUT_ACCEPT signal, must, of course, still be satisfied. The output latch LDOUT
will, therefore, place the same data word onto the output bus OUT_DATA for at least two full clock cycles. The OUT_VALID
signal will be a "1" only when there is both a valid DATA_TOKEN (QO2 HIGH) and the validation signal QVOUT is HIGH.
The signal QEIN, which is the extension bit corresponding to MID_DATA, is combined with the signal S3 in a series of ~_ ` 2145156 logic gates (INV10 and NANDlO) to form a signal S4. During presentation of a DATA Token, each data word MID_DATA will be repeated by loading it into the output latch LDOUT twice.
During the first of these, S4 will be forced to a "1" by the action of NAND10. The signal S4 is loaded in the latch LEOUT
to form OUTEXTN at the same time as MID_DATA is loaded into LDOUT to form OUT_DATA[7:0].
Thus, the first time a given MID_DATA is loaded into LEOUT, the associated OUTEXTN will be forced high, whereas, on the second occasion, OUTEXTN will be the same as the signal QEIN. Now consider the situation during the very last word of a token in which QEIN is known to be low. During the first time MID_DATA is loaded into LDOUT, OUTEXTN will be "1", and during the second time, OUTEXTN will be "0", indicating the true end of the token.
The output signal QVIN from the validation latch LVIN is combined with the signal QI3 in a similar gate combination (INV12 and NAND12) to form a signal S5. Using known Boolean techniques, it can be shown that the signal S5 is HIGH either when the validation signal QVIN is HIGH, or when the signal QI3 is low (indicating that the data is a duplicate). The signal S5 is loaded into the validation output latch LVOUT at the same time that MID_DATA is loaded into LDOUT and the intermediate extension bit (signal S4) is loaded into LEOUT.
Signal S5 is also combined with the signal QO2 (the data token signal) in the logic gates NAND30 and INV30 to form the output validation signal OUT_VALID. As was mentioned earlier, OUT_VALID is HIGH only when there is a valid token and the validation signal QVOUT is high.
In the present invention, the MID_ACCEPT signal is combined with the signal S5 in a series of logic gates (NAND26 and INV26) that perform the well-known AND function to form a signal S6 that is used as one of the two enabling signals to the latches LOl, LO2 and LO3. The signal S6 rises ~- 2145156 -to a "1" when the MID_ACCEPT signal is HIGH and when either the validation signal QVIN is high, or when the token is a duplicate (QI3 is a "O"). If the signal MID_ACCEPT is HIGH, the latches LOl-LO3 will, therefore, be enabled when the clock signal PH1 is high whenever valid input data is loaded at the input of the stage, or when the latched data is a duplicate.
From the discussion above, one can see that the stage shown in Figs. 8a and 8b will receive and transfer data between stages under the control of the validation and acceptance signals, as in previous embodiments, with the exception that the output signal from the acceptance latch LAIN at the input side is combined with the toggling duplication signal so that a data word will be output twice before a new word will be accepted.
The various logic gates such as NAND16 and INV16 may, of course, be replaced by equivalent logic circuitry (in this case, a single AND gate). Similarly, if the latches LEIN and LVIN, for example, have inverting outputs, the inverters INV10 and INV12 will not be necessary. Rather, the corresponding input to the gates NAND10 and NAND12 can be tied directly to the inverting outputs of these latches. As long as the proper logical operation is performed, the stage will operate in the same manner. Data words and extension bits will still be duplicated.
One should note that the duplication function that the illustrated stage performs will not be performed unless the first data word of the token has a "1" in the third position of the word and "O's" in the five high-order bits. (Of course, the required pattern can easily be changed and set by selecting other logic gates and interconnections other than the NOR1, NOR2, NND18 gates shown.) In addition, as Fig. 8 shows, the OUT VALID signal will be forced low during the entire token unless the first data word ` -214515~

has the structure described above. This has the effect that all tokens except the one that causes the duplication process will be deleted from the token stream, since a device connected to the output terminals (OUTDATA, OUTEXTN and OUTVALID) will not recognize these token words as valid data.
As before, both validation latches LVIN, LVOUT in the stage can be reset by a single conductor NOT RESETO, and a single resetting input R on the downstream latch LVOUT, with the reset signal being propagated backwards to cause the upstream validation latch to be forced low on the next clock cycle.
It should be noted that in the example shown in Fig. 8, the duplication of data contained in DATA tokens serves only as an example of the way in which circuitry may manipulate lS the ACCEPT and VALID signals so that more data is leaving the pipeline stage than that which is arriving at the input.
Similarly, the example in Fig. 8 removes all non-DATA tokens purely as an illustration of the way in which circuitry may manipulate the VALID signal to remove data from the stream.
In most typical applications, however, a pipeline stage will simply pass on any tokens that it does not recognize, unmodified, so that other stages further down the pipeline may act upon them if required.
Figs. 9a and 9b taken together illustrate an example of a timing diagram for the data duplication circuit shown in Figs. 8a and 8b. As before, the timing diagram shows the relationship between the two-phase clock signals, the various internal and external control signals, and the manner in which data is clocked between the input and output sides of the stage and is duplicated.

Referring now more particularly to Figure 10, there is shown a reconfigurable process stage in accordance with one 21451~6 aspect of the present invention.
Input latches 34 receive an input over a first bus 31. A first output from the input latches 34 is passed over line 32 to a token decode subsystem 33. A second output from the input latches 34 is passed as a first input over line 35 to a processing unit 36. A first output from the token decode subsystem 33 is passed over line 37 as a second input to the processing unit 36. A second output from the token decode 33 is passed over line 40 to an action identification unit 39.
The action identification unit 39 also receives input from registers 43 and 44 over line 46. The registers 43 and 44 hold the state of the machine as a whole. This state is determined by the history of tokens previously received. The output from the action identification unit 39 is passed over line 38 as a third input to the processing unit 36. The output from the processing unit 36 is passed to output latches 41. The output from the output latches 41 is passed over a second bus 42.
Referring now to Figure 11, a Start Code Detector (SCD) 51 receives input over a two-wire interface 52. This input can be either in the form of DATA tokens or as data bits in a data stream. A first output from the Start Code Detector 51 is passed over line 53 to a first logical first-in first-out buffer (FIF0) 54. The output from the first FIFO 54 is logically passed over line 55 as a first input to a Huffman decoder 56. A second output from the Start Code Detector 51 is passed over line 57 as a first input to a DRAM
interface 58. The DRAM interface 58 also receives input from a buffer manager 59 over line 60. Signals are transmitted to and received from external DRAM (not shown) by the DRAM
interface 58 over line 61. A first output from the DRAM
interface 58 is passed over line 62 as a first physical input to the Huffman decoder 56.

; 211~ ~ 56 The output from the Huffman decoder 56 is passed over line 63 as an input to an Index to Data Unit (ITOD) 64.
The Huffman decoder 56 and the ITOD 64 work together as a single logical unit. The output from the ITOD 64 is passed over line 65 to an arithmetic logic unit (ALU) 66. A first output from the ALU 66 is passed over line 67 to a read-only memory (ROM) state machine 68. The output from the ROM state machine 68 is passed over line 69 as a second physical input to the Huffman decoder 56. A second-output from the ALU 66 is passed over line 70 to a Token Formatter (T/F) 71.
A first output 72 from the T/F 71 of the present invention is passed over line 72 to a second FIFO 73. The output from the second FIFO 73 is passed over line 74 as a first input to an inverse modeller 75. A second output from the T/F 71 is passed over line 76 as a third input to the DRAM interface 58. A third output from the DRAM interface 58 is passed over line 77 as a second input to the inverse modeller 75. The output from the inverse modeller 75 is passed over line 78 as an input to an inverse quantizer 79 The output from the inverse quantizer 79 is passed over line 80 as an input to an inverse zig-zag (IZZ) 81. The output from the IZZ 81 is passed over line 82 as an input to an inverse discrete cosine transform (IDCT) 83. The output from the IDCT 83 is passed over line 84 to a temporal decoder (not shown).
Referring now more particularly to Figure 12, a temporal decoder in accordance with the present invention is shown. A fork 91 receives as input over line 92 the output from the IDCT 83 (shown in Fig. 11). As a first output from the fork 91, the control tokens, e.g., motion vectors and the like, are passed over line 93 to an address generator 94.
Data tokens are also passed to the address generator 94 for counting purposes. As a second output from the fork 91, the -: 2 1~5 1 5 ~

data is passed over line 95 to a FIFO 96. The output from the FIFO 96 is then passed over line 97 as a first input to a summer 98. The output from the address generator 94 is passed over line 99 as a first input to a DRAM interface 100.
Signals are transmitted to and received from external DRAM
(not shown) by the DRAM interface 100 over line lO1. A first output from the DRAM interface 100 is passed over line 102 to a prediction filter 103. The output from the prediction filter 103 is passed over line 104 as a second input to the summer 98. A first output from the summer 98 is passed over line 105 to output selector 106. A second output from the summer 98 is passed over line 107 as a second input to the DRAM interface 100. A second output from the DRAM interface 100 is passed over line 108 as a second input to the output selector 106. The output from the output selector 106 is passed over line 109 to a Video Formatter (not shown in Figure 12).
Referring now to Figure 13, a fork 111 receives input from the output selector 106 (shown in Figure 12) over line 112. As a first output from the fork 111, the control tokens are passed over line 113 to an address generator 114.
The output from the address generator 114 is passed over line 115 as a first input to a DRAM interface 116. As a second output from the fork 111 the data is passed over line 117 as a second input to the DRAM interface 116. Signals are transmitted to and received from external DRAM (not shown) by the DRAM interface 116 over line 118. The output from the DRAM interface 116 is passed over line 119 to a display pipe 120.
It will be apparent from the above descriptions that each line may comprise a plurality of lines, as necessary.

-; 214~15~

Referring now to Figure 14a, in the MPEG standard a picture 131 is encoded as one or more slices 132. Each slice 132 is, in turn, comprised of a plurality of blocks 133, and is encoded row-by-row, left-to-right in each row.
As is shown, each slice 132 may span exactly one full line of blocks 133, less than one line B or D of blocks 133 or multiple lines C of blocks 133.
Referring to Figure 14b, in the JPEG and H.261 standards, the Common Intermediate Format (CIF) is used, wherein a picture 141 is encoded as 6 rows each containing 2 groups of blocks (GOBs) 142. Each GOB 142 is, in turn, composed of either 3 rows or 6 rows of an indeterminate number of blocks 143. Each GOB 142 is encoded in a zigzag direction indicated by the arrow 144. The GOBs 142 are, in turn, processed row-by-row, left-to-right in each row.
Referring now to Figure 14c, it can be seen that, for both MPEG and CIF, the output of the encoder is in the form of a data stream 151. The decoder receives this data stream 151. The decoder can then reconstruct the image according to the format used to encode it. In order to allow the decoder to recognize start and end points for each standard, the data stream 151 is segmented into lengths of 33 blocks 152.
Referring to Figure 15, a Venn diagram is shown, representing the range of values possible for the table selection from the Huffman decoder 56 (shown in Fig. 11) of the present invention. The values possible for an MPEG
decoder and an H.261 decoder overlap, indicating that a single table selection will decode both certain MPEG and certain H.261 formats. Likewise, the values possible for an MPEG decoder and a JPEG decoder overlap, indicating that a single table selection will decode both certain MPEG and - ~14~ i 56 certain JPEG formats. Additionally, it is shown that the H.261 values and the JPEG values do not overlap, indicating that no single table selection exists that will decode both formats.
Referring now more particularly to Figure 16, there is shown a schematic representation of variable length picture data in accordance with the practice of the present invention. A first picture 161 to be processed contains a first PICTURE START token 162, first-picture information of indeterminate length 163, and a first PICTURE END token 164.
A second picture 165 to be processed contains a second PICTURE_START token 166, second picture information of indeterminate length 167, and a second PICTURE_END token 168.
The PICTURE_START tokens 162 and 166 indicate the start of the pictures 161 and 165 to the processor. Likewise, the PICTURE_END tokens 164 and 168 signify the end of the pictures 161 and 165 to the processor. This allows the processor to process picture information 163 and 167 of variable lengths.
Referring to Figure 17, a split 171 receives input over line 172. A first output from the split 171 is passed over line 173 to an address generator 174. The address generated by the address generator 174 is passed over line 175 to a DRAM interface 176. Signals are transmitted to and received from external DRAM (not shown) by the DRAM interface 176 over line 177. A first output from the DRAM interface 176 is passed over line 178 to a prediction filter 179. The output from the prediction filter 179 is passed over line 180 as a first input to a summer 181. A second output from the split 171 is passed over line 182 as an input to a first-in first-out buffer (FIFO~ 183. The output from the FIFO 183 is passed over line 184 as a second input to the summer 181.
The output from the summer 181 is passed over line 185 to a 21~51 ~

write signal generator 186. A first output from the write signal generator 186 is passed over line 187 to the DRAM
interface 176. A second output from the write signal generator 186 is passed over line 188 as a first input to a read signal generator 189. A second output from the DRAM
interface 176 is passed over line 190 as a second input to the read signal generator 189. The output from the read signal generator 189 is passed over line 191 to a Video Formatter (not shown in Figure 17). -Referring now to Figure 18, the prediction filtering process is illustrated. A forward picture 201 is passed over line 202 as a first input to a summer 203. A
backward picture 204 is passed over line 205 as a second input to the summer 203. The output from the summer 203 is passed over line 206.
Referring to Figure 19, a slice 211 comprises one or more macroblocks 212. In turn, each macroblock 212 comprises four luminance blocks 213 and two chrominance blocks 214, and contains the information for an original 16 x 16 block of pixels. Each of the four luminance blocks 213 and two chrominance blocks 214 is 8 x 8 pixels in size. The four luminance blocks 213 contain a 1 pixel to 1 pixel mapping of the luminance (Y) information from the original 16 x 16 block of pixels. One chrominance block 214 contains a representation of the chrominance level of the blue color signal (Cu/b), and the other chrominance block 214 contains a representation of the chrominance level of the red color signal (Cv/r). Each chrominance level is subsampled such that each 8 x 8 chrominance block 214 contains the chrominance level of its color signal for the entire original16 x 16 block of pixels.
Referring now to Figure 20, the structure and function of the Start Code Detector will become apparent. A

2 1 ~

value register 221 receives image data over a line 222. The line 222 is eight bits wide, allowing for parallel transmission of eight bits at a time. The output from the value register 221 is passed serially over line 223 to a decode register 224. A first output from the decode register 224 is passed to a detector 225 over a line 226. The line 226 is twenty-four bits wide, allowing for parallel transmission of twenty-four bits at a time. The detector 225 detects the presence or absence of an image which corresponds lo to a standard-independent start code of 23 "zero" values followed by a single "one" value. An 8-bit data value image follows a valid start code image. On detecting the presence of a start code image, the detector 225 transmits a start image over a line 227 to a value decoder 228.
A second output from the decode register 224 is passed serially over line 229 to a value decode shift register 230. The value decode shift register 230 can hold a data value image fifteen bits long. The 8-bit data value following the start code image is shifted to the right of the value decode shift register 230, as indicated by area 231.
This process eliminates overlapping start code images, as discussed below. A first output from the value decode shift register 230 is passed to the value decoder 228 over a line 232. The line 232 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. The value decoder 228 decodes the value image using a first look-up table (not shown). A second output from the value decode shift register 230 is passed to the value decoder 228 which passes a flag to an index-to-tokens converter 234 over a line 235. The value decoder 228 also passes information to the index-to-tokens converter 234 over a line 236. The information is either the data value image or start code index image obtained from the first look-up table. The flag 2 1~ 6 indicates which form of information is passed. The line 236 i8 fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. While 15 bits has been chosen here as the width in the present invention it will be appreciated that bits of other lengths may also be used. The index-to-tokens converter 234 converts the information to token images using a second look-up table (not shown) similar to that given in Table 12-3 of the Users Manual. The token images generated by the index-to-tokens converter 234 are then output over a line 237. The line 237 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time.
Referring to Figure 21, a data stream 241 consisting of individual bits 242 is input to a Start Code Detector (not shown in Figure 21). A first start code image 243 is detected by the Start Code Detector. The Start Code Detector then receives a first data value image 244. Before processing the first data value image 244, the Start Code Detector may detect a second start code image 245, which overlaps the first data value image 244 at a length 246. If this occurs, the Start Code Detector does not process the first data value image 244, and instead receives and processes a second data value image 247.
Referring now to Figure 22, a flag generator 251 receives data as a first input over a line 252. The line 252 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. The flag generator 251 also receives a flag as a second input over a line 253, and receives an input valid image over a first two-wire interface 254. A
first output from the flag generator 251 is passed over a line 255 to an input valid register (not shown). A second output from the flag generator 251 is passed over a line 256 to a decode index 257. The decode index 257 generates four outputs; a picture start image is passed over a line 258, a picture number image is passed over a line 2S9, an insert image is passed over a line 260, and a replace image is passed over a line 261. The data from the flag generator 251 is passed over a line 262a. A header generator 263 uses a look-up table to generate a replace image, which is passed over a line 262b. An extra word generator 264 uses the MPU
to generate an insert image, which is passed over a line 262c. Line 262a, and line 262b combine to form a line 262, which is first input to output lat-ches 265. The output latches 265 pass data over a line 266. The line 266 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time.
The input valid register (not shown) passes an image as a first input to a first OR gate 267 over a line 268. An insert image is passed over a line 269 as a second input to the first OR gate 267. The output from the first OR
gate 267 is passed as a first input to a first AND gate 270 over a line 271. The logical negation of a remove image is passed over a line 272 as a second input to the first AND
gate 270 is passed as a second input to the output latches 265 over a line 273. The output latches 265 pass an output valid image over a second two-wire interface 274. An output accept image is received over the second two-wire interface 274 by an output accept latch 275. The output from the output accept latch 275 is passed to an output accept register (not shown) over a line 276.
The output accept register (not shown) passes an image as a first input to a second OR gate 277 over a line 278. The logical negation of the output from the input valid register is passed as a second input to the second OR gate 277 over a line 279. The remove image is passed over a line 280 as a third input to the second OR gate 277. The output from the second OR gate 277 is passed as a first input to a second AND gate 281 over a line 282. The logical negation of -21 ~1 56 an insert image is passed as a second input to the second AND
gate 281 over a line 283. The output from the second AND
gate 281 is passed over a line 284 to an input accept latch 285. The output from the input accept latch 285 is passed over the first two-wire interface 254.

2 14515~

TABL~ 600 Format Ima~e Received Tokens Generated 1. H.261 SEQUENCE START SEQUENCE START
MPEG PICTURE START GROUP START
JPEG (None) PICTURE START
PICTURE DATA
2. H.261 (None) PICTURE END
MPEG (None) PADDING
JPEG (None) FLUSH
STOP AFTER PICTURE
As set forth in Table 600 which shows a relationship between the absence or presence of standard signals in the certain machine independent control tokens, the detection of an image by the Start Code Detector 51 generates a sequence of machine independent Control Tokens. Each image listed in the "Image Received" column starts the generation of all machine independent control tokens listed in the group in the "Tokens Generated" column. Therefore, as shown in line 1 of Table 600, whenever a "sequence start" image is received during H.261 processing or a "picture start" image is received during MPEG processing, the entire group of four control tokens is generated, each followed by its corresponding data value or values. In addition, as set forth at line 2 of Table 600, the second group of four control tokens is generated at the proper time irrespective of images received by the Start Code Detector 51.
TABL~ C01 DISPLAY ORDER: Il B2 B3 P4 B5 B6 P7 B8 B9 I10 TRANSMIT ORDER: Il P4 B2 B3 P7 85 B6 I10 B8 B9 As shown in line 1 of Table 601 which shows the timing relationship between transmitted pictures and displayed pictures, the picture frames are displayed in numerical order. However, in order to reduce the number of frames that - 214515~

must be stored in memory, the frames are transmitted in a different order. It is useful to begin the analysis from an intraframe (I frame). The Il frame is transmitted in the order it is to be displayed. The next predicted frame (P
frame), P4, is then transmitted. Then, any bi-directionally interpolated frames (B frames) to be displayed between the Il frame and P4 frame are transmitted, represented by frames B2 and B3. This allows the transmitted B frames to reference a previous frame (forward prediction) or a future frame (backward prediction). After transmitting all the B frames to be displayed between the Il frame and the P4 frame, the next P frame, P7, is transmitted. Next, all the B frames to be displayed between the P4 and P7 frames are transmitted, corresponding to B5 and B6. Then, the next I frame, I10, is transmitted. Finally, all the B frames to be displayed between the P7 and I10 frames are transmitted, corresponding to frames B8 and B9. This ordering of transmitted frames requires only two frames to be kept in memory at any one time, and does not require the decoder to wait for the transmission of the next P frame or I frame to display an interjacent B frame.
Further information regarding the structure and operation, as well as the features, objects and advantages, of the invention will become more readily apparent to one of ordinary skill in the art from the ensuing additional detailed description of illustrative embodiment of the invention which, for purposes of clarity and convenience of explanation are grouped and set forth in the following sections:
~o 1. Multi-Standard Configurations 2. JPEG Still Picture Decoding 3. Motion Picture Decompression 4. R~ Memory Map 5. Bitstream Characteristics ` 214~6 6. Reconfigurable Processing Stage 7. Multi-Standard Coding 8. Multi-Standard Processing Circuit-2nd Mode of Operation 9. Start Code Detector 10. Tokens 11. DRAM Interface 12. Prediction Filter 13. Accessing Registers 14. Microprocessor Interface (MPI) 15. MPI Read Timing 16. MPI Write Timing 17. Key Hole Address Locations 18. Picture End 19. Flushing Operation 20. Flush Function 21. Stop-After-Picture 22. Multi-Standard Search Mode 23. Inverse Modeler 2~. Inverse Quantizer 25. Huffman Decoder and Parser 26. Diverse Discrete Cosine Transformer 27. Buffer Manager r-~, 1. NnLT~-STa~DaRD CONFIG~RATION8 Since the various compression standards, i.e., JPEG, MPEG and H.261, are well known, as for example as described in the aforementioned United States Patent No. 5,212,742, the detailed specifications of those standard~ are not repeated here.
As previously mentioned, the present invention is capable of decompressing a variety of differently encoded, picture data bitstreams. In each of the different standards of encoding, some form of output formatter is required to take the data presented at the output of the spatial decoder operating alone, or the serial output of a spatial decoder and temporal decoder operating in combination, ~as subsequently described herein in greater detail) and reformatting this output for use, including display in a computer or other display systems, including a video display system. Implementation of this formatting varies significantly between encoding standards and/or the type of display selected.
In a first embodiment, in accordance with the present invention, as previously described with reference to Figures 10-12 an address generator is employed to store a block of formatted data, output from either the first decoder (Spatial Decoder) or the combination of the first decoder (Spatial Decoder) and the second deco~r (the Temporal Decoder), and to write the decoded information into and/or from a memory in a raster order. The video formatter described hereinafter provides a wide range of output signal combinations.
In the preferred multi-standard video decoder embodiment of the present invention, the Spatial Decoder and the Temporal DeroA~r are required to implement both an MPEG
encoded signal and an H.261 video d~co~ing system. The DRAM
interfaces on both devices are configurable to allow the quantity of DRAM required to be reduced when working with small picture formats and at low coded data rates. The reconfiguration of these DRAMs will be further described hereinafter with reference to the DRAM interface. Typically, a single 4 megabyte DRAM is required by each of the Temporal re ~ r and the Spatial Decoder circuits.
The Spatial Decoder of the present invention performs all the required processing within a single picture. This reduces the redundancy within one picture.
The Temporal Decoder reduces the redundancy between the subject picture with relationship to a picture which arrives prior to the arrival of the subject picture, as well as a picture which arrives after the arrival of the subject picture. One aspect of the Temporal Decoder is to provide an address decode network which handles the complex addressing needs to read out the data associated with all of these pictures with the least number of circuits and with high speed and improved accuracy.
As previously described with reference to Figure 11, the data arrives through the Start Code Detector, a FIFO register which precedes a Huffman decoder and parser, through a second FIFO register, an inverse modeller, an inverse quantizer, inverse zigzag and inverse DCT. The two FIFOs need not be on the chip. In one embodiment, the data does not flow through a FIFO that is on the chip. The data is applied to the DRAM
interface, and the FIFO-IN storage register and the FIFO-OUT
register is off the chip in both cases. These registers, whose operation is entirely independent of the standards, will subsequently be described herein in further detail.
The majority of the subsystems and stages shown in Figure 11 are actually independent of the particular standard used and include the DRAM interface 58, the buffer manager 59 which is generating addresses for the DRAM interface, the inverse modeller 75, the inverse zig-zag 81 and the inverse ,_ ` 2145156 DCT 83. The standard independent units within the Huffman decoder and parser include the ALU 66 and the token formatter 71.
Referring now to Figure 12, the standard-in~pendent units include the DRAM interface 100, the fork91, the FIFO register 96, the summer 98 and the output selector 106. The stAn~-rd dependent units are the address generator 94, which is different in H.261 and in MPEG, and the prediction filter 103, which is reconfigurable to have the ability to do both H.261 and MPEG. The JPEG data will flow through the entire machine completely unaltered.
Figure 13 depicts a high level block diagram of the video formatter chip. The vast majority of this chip is independent of the standard. The only items that are affected by the standard is the way the data is written into the DRAM in the case of H.261, which differs from MPEG or JPEG; and that in H.261, it is not necessary to code every single picture. There is some timing information referred to as a temporal reference which provides some information regarding when the pictures are intended to be displayed, and that is also handled by the address generation type of logic in the video formatter.
The remainder of the circuitry embodied in the video formatter, including all of the color space conversion, the up-sampling filters and all of the gamma correction RAMs, is entirely independent of the particular compression standard utilized.
The Start Code Detector of the present invention is dependent on the compression standard in that it has to recognize different start code patterns in the bitstream for each of the standards. For example, H.261 has a 16 bit start code, MPEG has a 24 bit start code and JPEG uses marker codes which are fairly different from the other start codes. Once the Start Code Detector has recognized those different start codes, its operation is es~entially independent of the compression standard. For instance, during searching, apart from the circuitry that recognizes the different category of markers, much of the operation is very similar between the three different comprescion standards.
The next unit is the state machine 68 (Figure 11) located within the Huffman Aeco~er and parser. Here, the actual circuitry is almost identical for each of the three compression standards. In fact, the only element that is affected by the standard in operation is the reset address of the machine. If just the parser is reset, then it jumps to a different address for each standard. There are, in fact, four stan~Ards that are recognized. These standards are H.261, JPEG, MPEG and one other, where the parser enters a piece of code that is used for testing. This illustrates that the circuitry is identical in almost every aspect, but the difference is the program in the microcode for each of the standards. Thus, when operating in H.261, one program is running, and when a different program is running, there is no overlap between them. The same holds true for JPEG, which is a third, completely independent program.
The next unit is the Huffman decoder 56 which functions with the index to data unit 64. Those two units cooperate together to perform the Huffman decoding. Here, the algorithm that is used for Huffman decoding is the same, irrespective of the compression stAn~Ard. The changes are in which tables are used and whether or not the data coming into the Huffman decoder is inverted. Also, the Huffman decoder itself includes a state machine that understands some aspects of the coding standards. These different operations are selected in ~e ~onse to an instruction coming from the parser state machine. The parser state machine operates with a different program for each of the three compression standards and issues the correct command to the Huffman decoder at different times consistent with the standard in operation.
The last unit on the chip that is dependent on the compression sta~Ard is the inverse quantizer 79, where the mathematics that the inverse quantizer performs are different for each of the different standards. In this regard, a CODING STANDARD token is decoded and the inverse quantizer 79 remembers which standard it is operating in. Then, any s~h-equent DATA tokens that happen-after that event, but before another CODING_STANDARD may come along, are dealt with in the way indicated by the CODING STANDARD that has been remembered inside the inverse quantizer. In the detailed description, there is a table illustrating different parameters in the different standards and what circuitry is responding to those different parameters or mathematics.
The address generation, with reference to H.261, differs for each of the subsystems shown in Figure 12 and Figure 13.
The address generation in Figure 11, which generates addresses for the two FIFOs before and after the Huffman decoder, does not change depending on the coding standards.
Even in H.261, the address generation that happens on that chip is unaltered. Essentially, the difference between these standards is that in MPEG and JPEG, there is an organization of macroblocks that are in linear lines going horizontally across pictures. As best observed in Figure 14a, a first macroblock A covers one full line. A macroblock B covers less than a line. A macroblock C covers multiple lines. The division in MPEG is into slices 132, and a slice may be one horizontal line, A, or it may be part of a horizontal line B, or it may extend from one line into the next line, C. Each of these slices 132 is made up of a row of macroblocks.
In H.261, the organization is rather different because the picture is divided into groups of blocks (GOB).

~ 214S156 A group of blocks is three rows of macroblocks high by eleven macroblocks wide. In the cace of a CIF picture, there are twelve such groups of blocks. However, they are not organized one ab~ve the other. Rather, there are two groups of blocks next to each other and then six high, i.e., there are 6 GOB's vertically, and 2 GOB's horizontally.
In all other s~An~Ards, when performing the addressing, the macroblocks are addressed in order as described above. More specifically, addressing proceeds along the lines and at the end of the line, the next line is started. In H.261, the order of the blocks is the same as described within a group of blocks, but in moving onto the next group of blocks, it is almost a zig-zag.
The present invention provides circuitry to deal with the latter affect. That is the way in which the address generation in the spatial decoder and the video formatter varies for H.261. This is accomplished whenever information is written into the DRAM. It is written with the knowledge of the aforementioned address generation sequence so the place where it is physically located in the RAM is exactly the same as if this had been an MPEG picture of the same size. Hence, all of the address generation circuitry for reading from the DRAM, for instance, when forming predictions, does not have to comprehend that it is H.261 st~n~rd because the physical placement of the information in the memory is the same as it would have been if it had been in MPEG sequence. Thus, in all cases, only writing of data is affected.
In the Temporal Decoder, there is an abstraction for H.261 where the circuitry pretends something is different from what is actually occurring. That is, each group of blocks is conceptually stretched out so that instead of having a rectangle which is 11 x 3 macroblocks, the macroblocks are stretched out into a length of 33 blocks (see 21~5~6 Figure 14c) group of blocks which is one macroblock high. By doing that, exactly the same counting mechanisms used on the Temporal Decoder for counting through the groups of blocks are also used for MPEG.
s There is a correspondence in the way that the circuitry is designed between an H.261 group of blocks and an MPEG slice. When H.261 data is process~A after the Start Code Detector, each group of blocks is preceded by a slice start code. The next group o blocks is preceded by the next slice start code. The counting that goes on inside the Temporal Decoder for counting through this structure pretends that it is a 33 macroblock-long group that is one macroblock high. This is sufficient, although the circuitry also counts every 11th interval. When it counts to the 11th macroblock or the 22nd macroblock, it resets some counters.
This is accomplished by simple circuitry with another counter that counts up each macroblock, and when it gets to 11, it resets to zero. The microcode interrogates that and does that work. All the circuitry in the temporal decoder of the present invention is essentially independent of the compression standard with respect to the physical placement of the macroblocks.
In terms of multi-standard adaptability, there are a number of different tables and the circuitry selects the appropriate table for the appropriate standard at the appropriate time. Each standard has multiple tables; the circuitry selects from the set at any given time. Within any one standard, the circuitry selects one table at one time and another table another time. In a different standard, the circuitry selects a different set of tables. There is some intersection between those tables as indicated previously in the discussion of Figure 15. For example, one of the tables used in MPEG is also used in JPEG. The tables are not a completely isolated set. Figure 15 illustrates an H.261 ~. 2145156 set, an MPEG set and a JPEG set. Note that there is a much greater overlap between the H.261 set and the MPEG set. They are quite common ln the tables they utilize. There is a s~all overlap between MPEG and JPEG, and there is no overlap at all between H.261 and JPEG so that these standards have totally different sets of tables.
As previously indicated, most of the system units are compression standard independent. If a unit is standard independent, and such units need not remember what CODING_STANDARD is being processed. All of the units that are standard dependent remember the compression standard as the CODING_STANDARD token flows by them. When information encoded/decoded in a first coding standard is distributed through the machine, and a machine is changing standards, prior machines under microprocessor control would normally choose to perform in accordance with the H.261 compression standard. The MPU in such prior machines generates signals stating in multiple different places within the machine that the compression standard is changing. The MPU makes changes at different times and, in addition, may flush the pipeline through.
In accordance with the invention, by issuing a change of CODING_STANDARD tokens at the Start Code Detector that is positioned as the first unit in the pipeline, this change of compression standard is readily handled. The token says a certain coding standard is beginning and that control information flows down the machine and configures all the other registers at the appropriate time. The MPU need not program each register.
The prediction token signals how to form predictions using the bits in the bitstream. Depending on which compression standard is operating, the circuitry translates the information that is found in the standard, i.e. from the bitstream into a prediction mode token. This processing is ~. 21451S6 perfo~ed by the Huffman decoder and parser state machine, where it is easy to manipulate bits ~ased on certain conditions. The Start Code Detector generates this prediction mode token. The token then flows down the machine to the circuitry of the Temporal Decoder, which is the device responsible for forming predictions. The circuitry of the spatial decoder interprets the token without having to know what standard it is operating in because the bits in it are invariant in the three different standards. The Spatial Decoder just does what it is told in response to that token.
By having these tokens and using them appropriately, the design of other units in the machine is simplified. Although there may be some complications in the program, benefits are received in that some of the hard wired logic which would be difficult to design for multi-standards can be used here.

2. JPEG STILL PICTURE DECODING
As previously indicated, the present invention relates to signal decompression and, more particularly, to the decompression of an encoded video signal, irrespective of the compression standard employed.
one aspect of the present invention is to provide a first decoder circuit (the Spatial Decoder) to decode a first encoded signal (the JPEG encoded video signal) in combination with a second decoder circuit (the Temporal Decoder) to decode a first encoded signal (the MPEG or H.261 encoded video signal) in a pipeline processing system. The Temporal Decoder is not needed for JPEG decoding.
In this regard, the invention facilitates the decompression of a plurality of differently encoded signals through the use of a single pipeline decoder and decompression system. The decoding and decompression pipeline processor is organized on a unique and specia~
configuration which allows the handling of the multi-standard ` 2145156 encode~ ~video signals through the use of techniques all compatible with the single pipeline decoder and processing system. The Spatial Decoder is combined with the Temporal Decoder, and the Video Formatter is used in driving a video display.
Another aspect of the invention is the use of the combination of the Spatial Decoder and the Video Formatter for use with only still pictures. The compression standard independent Spatial Decoder performs all of the data io processing within the boundaries of a single picture. Such a decoder handles the spatial decompression of the internal picture data which is passing through the pipeline and is distributed within associated random access memories, standard independent address generation circuits for handling the storage and retrieval of information into the memories.
Still picture data is decoded at the output of the Spatial Decoder, and this output is employed as input to the multi-standard, configurable Video Formatter, which then provides an output to the display terminal. In a first sequence of similar pictures, each decompressed picture at the output of the Spatial Decoder is of the same length in bits by the time the picture reaches the output of the Spatial Decoder. A
second sequence of pictures may have a totally different picture size and, hence, have a different length when compared to the first length. Again, all such second sequence of similar pictures are of the same length in bits by the time such pictures reach the output of the Spatial Decoder.
Another aspect of the invention is to internally organize ~o the incoming standard dependent bitstream into a sequence of control tokens and DATA tokens, in combination with a plurality of sequentially-positioned reconfigurable processing stages selected and organized to act as a standard-independent, reconfigurable-pipeline-processor-~ 2,145156 With regard to JPEG decoding, a single Spatial Decoderwith no off chip DRAM can rapidly decode baseline JPEG
images. The Spatial Decoder supports all features of baseline JPEG encoding standards. However, the image size that can be decoded may be limited by the size of the output buffer provided. The Spatial Decoder circuit also includes a random access memory circuit, having machine-dependent, standard independent address generation circuits for handling the storage of information into the memories.
As previously, indicated the Temporal Decoder is not required to decode JPEG-encoded video. Accordingly, signals carried by DATA tokens pass directly through the Temporal Decoder without further processing when the Temporal Decoder is configured for a JPEG operation.
Another aspect of the present invention is to provide in the Spatial Decoder a pair of memory circuits, such as buffer memory circuits, for operating in combination with the Huffman decoder/video demultiplexor circuit (HD & VDM). A
first buffer memory is positioned before the HD & VDM, and a second buffer memory is positioned after the HD & VDM. The HD & VDM decodes the bitstream from the binary ones and zeros that are in the standard encoded bitstream and turns such stream into numbers that are used downstream. The advantage of the two buffer system is for implementing a multi-standard decompression system. These two buffers, in combination with the identified implementation of the Huffman decoder, are described hereinafter in greater detail.
A still further aspect of the present multi-standard, decompression circuit is the combination of a Start Code Detector circuit positioned upstream of the first forward buffer operating in combination with the Huffman decoder.
One advantage of this combination is increased flexibility in dealing with the input bitstream, particularly padding, which has to be added to the bitstream. The placement of these ' 2145156 ident~fied components, Start Code Detector, memory buffers, and Huffman decoder enhances the handling of certain sequences in the input bitstream.
In addition, off chip DRAMs are used for decoding JPEG-encoded video pictures in real time. The size and speed ofthe buffers used with the DRAMs will depend on the video encoded data rates.
The coding standards identify all of the standard dependent types of information that is necessary for storage in the DRAMs associated with the Spatial Decoder using standard independent circuitry.
3. MOTION P$CTURE DECOMPRE8S~ON
~ n the present invention, if motion pictures are being decompressed through the steps of decoding, a further Temporal Decoder is necessary. The Temporal Decoder combines the data decoded in the Spatial Decoder with pictures, previously decoded, that are intended for display either before or after the picture being currently decoded. The Temporal Decoder receives, in the picture coded datastream, information to identify this temporally-displaced information. The Temporal Decoder is organized to address temporally and spatially displaced information, retrieve it, and combine it in such a way as to decode the information located in one picture with the picture currently being decoded and ending with a resultant picture that is complete and is suitable for transmission to the video formatter for driving the display screen. Alternatively, the resultant picture can be stored for subsequent use in temporal decoding of subsequent pictures.
Generally, the Temporal Decoder performs the processing between pictures either earlier and/or later in time with reference to the picture currently being decoded. The Temporal Decoder reintroduces information that is not encoded within the coded representation of the picture, because it is ` ~14~156 redut~ant and is already available at the decoder. More specifically, it is probable that any given picture will contain similar information as pictures temporally surrounding it, both before and after. This similarity can be made greater if motion compensation is applied. The Temporal Decoder and decompression circuit also reduces the redundancy between related pictures.
In another aspect of the present invention, the Temporal Decoder is employed for handling the standard-dependent output information from the Spatial Decoder. This standard dependent information for a single picture is distributed among several areas of DRAM in the sense that the decompressed output information, processed by the Spatial Decoder, is stored in other DRAM registers by other random access memories having still other machine-dependent, standard-independent address generation circuits for combining one picture of spatially decoded information packet of spatially decoded picture information, temporally displaced relative to the temporal position of the first picture.
In multi-standard circuits capable of decoding MPEG-encoded signals, larger logic DRAM buffers may be required to support the larger picture formats possible with MPEG.
. The picture information is moving through the serial pipeline in 8 pel by 8 pel blocks. In one form of the invention, the address decoding circuitry handles these pel blocks (storing and retrieving) along such block boundaries.
The address decoding circuitry also handles the storing and retrieving of such 8 by 8 pel blocks across such boundaries.
~his versatility is more completely described hereinafter.
A second Temporal Decoder may also be provided which passes the output of the first decoder circuit (the Spatial Decoder) directly to the Video Formatter for handling without signal processing delay.

.
21~51S6 I~e Temporal Decoder also reorders the blocks of picture data for display by a display circuit. The address decode circuitry, described hereinafter, provides handling of this reordering.
As previously mentioned, one important feature of the Temporal Decoder is to add picture information together from a selection of pictures which have arrived earlier or later than the picture under processing. When a picture is described in this context, it may mean any one of the following:
1. The coded data representation of the picture;
2. The result, i.e., the final decoded picture resulting from the addition of a process step performed by the decoder;
3. Previously decoded pictures read from the DRAM; and 4. The result of the spatial decoding, i.e., the extent of data between a PICTURE_START token and a subsequent PICTURE_END token.
After the picture data information is processed by the Temporal Decoder, it is either displayed or written back into a picture memory location. This information is then kept for further reference to be used in processing another different coded data picture.
Re-ordering of the MPEG encoded pictures for visual display involves the possibility that a desired scrambled picture can be achieved by varying the re-ordering feature of the Temporal Decoder.

4. RAM MEMORY MAP
The Spatial Decoder, Temporal Decoder and Video Formatter all use external DRAM. Preferably, the same DRAM
is used for all three devices. While all three devices use DRAM, and all three devices use a DRAM interface in conjunction with an address generator, what each implements ~`. 2145156 in DRAM is different. That is, each chip, e.g. Spatial reCoAer and Temporal Decoder, have a different DRAM interface and add~e ~6 generation circuitry even through they use a similar physical, external DRAM.
In brief, the Spatial Decoder implements two FIFOs in the common DRAM. Referring again to Figure 11, one FIFO 54 i8 positioned before the Huffman A~coAer 56 and parser, and the other is positioned after the Huffman d~coAer and parser.
The FIFOs are implemented in a relatively straightforward manner. For each FIFO, a particular portion of DRAM is set aside as the physical memory in which the FIFO will be implemented.
The address generator associated with the Spatial Decoder DRAM interface 58 keeps track of FIFO addresses using two pointers. One pointer points to the first word stored in the FIFO, the other pointer points to the last word stored in the FIFO, thus allowing read/write operation on the appropriate word. When, in the course of a read or write operation, the end of the physical memory is reached, the address generator "wraps around" to the start of the physical memory.
In brief, the Temporal Decoder of the present invention must be able to store two full pictures or frames of whatever encoding stAn~Ard (MPEG or H.261) is specified. For simplicity, the physical memory in the DRAM into which the two frames are stored is split into two halves, with each half being dedicated (using appropriate pointers) to a particular one of the two pictures.
~ MPEG uses three different picture types: Intra (I), Predicted (P) and Bidirectionally interpolated (B). As previously mentioned, B pictures are based on predictions from two pictures. One picture is from the future and one from the past. I pictures require no further decoding by the Temporal Decoder, but must be stored in one of the two 1 5 ~ `

picture ~uffers for later use in decoding P and B pictures.
Decoding P pictures requires forming predictions from a previously decoded P or I picture. The decoded P picture is stored in a picture buffer for use decoding P and B pictures.
B pictures can require predictions form both of the picture buffers. However, B pictures are not stored in the external DRAM.
Note that I and P pictures are not output from the Temporal Decoder as they are decoded. Instead, I and P
pictures are written into one of the picture buffers, and are read out only when a subsequent I or P picture arrives for decoding. In other words, the Temporal Decoder relies on subsequent P or I pictures to flush previous pictures out of the two picture buffers, as further discussed hereinafter in the section on flushing. In brief, the Spatial Decoder can provide a fake I or P picture at the end of a video sequence to flush out the last P or I picture. In turn, this fake picture is flushed when a subsequent video sequence starts.
The peak memory band width load occurs when decoding B
pictures. The worst case is the B frame may be formed from predictions from both the picture buffers, with all predictions being made to half-pixel accuracy.
As previously described, the Temporal Decoder can be configured to provide MPEG picture reordering. With this picture reordering, the output of P and I pictures is delayed until the next P or I picture in the data stream starts to be decoded by the Temporal Decoder.
As the P or I pictures are reordered, certain tokens are stored temporarily on chip as the picture is written into the picture buffers. When the picture is read out for display, these stored tokens are retrieved. At the output of the Temporal Decoder, the DATA Tokens of the newly decoded P or I picture are replaced with DATA Tokens for the older P or 1 picture.

~ 21~5156 In contrast, H.261 makes predictions only from the picture just decoded. As each picture is decoded, it is written into one of the two picture buffers so it can be used in decoding the next picture. The only DRAM memory operations required are writing 8 x 8 blocks, and forming predictions with integer accuracy motion vectors.
In brief, the Video Formatter stores three frames or pictures. Three pictures need to be stored to accommodate such features as repeating or skipping pictures.

5. BITSTREAM CHARACTERISTICS
Referring now particularly to the Spatial Decoder of the present invention, it is helpful to review the bitstream characteristics of the encoded datastream as these characteristics must be handled by the circuitry of the Spatial Decoder and the Temporal Decoder. For example, under one or more compression standards, the compression ratio of the standard is achieved by varying the number of bits that it uses to code the pictures of a picture. The number of bits can vary by a wide margin. Specifically, this means that the length of a bitstream used to encode a referenced picture of a picture might be identified as being one unit long, another picture might be a number of units long, while still a third picture could be a fraction of that unit.
None of the existing standards (MPEG 1.2, JPEG, H.261) define a way of ending a picture, the implication being that when the next picture starts, the current one has finished.
Additionally, the standards (H.261 specifically) allow incomplete pictures to be generated by the encoder.
In accordance with the present invention, there is provided a way of indicating the end of a picture by using one of its tokens: PICTURE_END. The still encoded picture data leaving the Start Code Detector consists of pictures starting with a PICTURE_START token and ending with a 214 5t5~

PICTURE_END token, but still of widely varying length. There may be other information transmitted here (between the first and second picture), but it is known that the first picture has finished.
The data stream at the output of the Spatial Decoder consists of pictures, still with picture-starts and picture-ends, of the same length (number of bits) for a given sequence. The length of time between a picture-start and a picture-end may vary.
The Video Formatter takes these pictures of non-uniform time and displays them on a screen at a fixed picture rate determined by the type of display being driven. Different display rates are used throughout the world, e.g. PAL-NTSC
television standards. This is accomplished by selectively dropping or repeating pictures in a manner which is unique.
Ordinary "frame rate converters," e.g. 2-3 pulldown, operate with a fixed input picture rate, whereas the Video Formatter can handle a variable input picture rate.

6. RFCONFIGURABLE PROCE88ING 8TAGF
Referring again to Figure 10, the reconfigurable processing stage (RPS) comprises a token decode circuit 33 which is employed to receive the tokens coming from a two wire interface 37 and input latches 34. The output of the token decode circuit 33 is applied to a processing unit 36 over the two-wire interface 37 and an action identification circuit 39. The processing unit 36 is suitable for processing data under the control of the action identification circuit 39. After the processing is completed, the processing unit 36 connects such completed signals to the output, two-wire interface bus 40 through output latches 41.
The action identification decode circuit 39 has an input from the token decode circuit 33 over the two-wire 21 151~
.

interface bus 40 and/or from memory circuits 43 and 44 over two-wire interface bus 46. The tokens from the token decode circuit 33 are applied simultaneously to the action identification circuit 39 and the processing unit 36. The action identification function as well as the RPS is described in further detail by tables and figures in a subsequent portion of this specification.
The functional block diagram in Figure lO
illustrates those stages shown in Figures 11, 12 and 13 which are not standard independent circuits. The data flows through the token decode circuit 33, through the processing unit 36 and onto the two-wire interface circuit 42 through the output latches 41. If the Control Token is recognized by the RPS, it is decoded in the token decode circuit 33 and appropriate action will be taken. If it is not recognized, it will be passed unchanged to the output two-wire interface 42 through the output circuit 41. The present invention operates as a pipeline processor having a two-wire interface for controlling the movement of control tokens through the pipeline. This feature of the invention is described in greater detail in the previously filed EPO patent application number 92306038.8.
In the present invention, the token decode circuit 33 is employed for identifying whether the token presently entering through the two-wire interface 42 is a DATA token or control token. In the event that the token being examined by the token decode circuit 33 is recognized, it is exited to the action identification circuit 39 with a proper index signal or flag signal indicating that action is to be taken. At the same time, the token decode circuit 33 provides a proper flag or index signal to the processing unit 36 to alert it to the presence of the token being handled by the action identification circuit 39.

Control tokens may also be processed.
A more detailed description of the various types of tokens usable in the present invention will be subsequently described hereinafter. For the purpose of this portion of the specification, it is sufficient to note that the address carried by the control token is decoded in the decoder 33 and is used to access registers contained within the action identification circuit 39. When the token being examined is a recognized control token, the action identification circuit 39 uses its reconfiguration state circuit for distributing the control signals throughout the state machine. As previously mentioned, this activates the state machine of the action identification decoder 39, which then reconfigures itself. For example, it may change coding standards. In this way, the action identification circuit 39 decodes the required action for handling the particular standard now passing through the state machine shown with reference to Figure 10.
Similarly, the processing unit 36 which is under the control of the action identification circuit 39 is now ready to process the information contained in the data fields of the DATA token when it is appropriate for this to occur.
On many occasions, a control token arrives first, reconfigures the action identification circuit 39 and is immediately followed by a DATA token which is then processed by the processing unit 36. The control token exits the output latches circuit 41 over the output two-wire interface 42 immediately preceding the DATA token which has been processed within the processing unit 36.
In the present invention, the action identification circuit, 39, is a state machine holding history state. The registers, 43 and 44 hold information that has been decoded from the token decoder 33 and stored in these registers.

21451~6 Such registers can be either on-chip or-off chip as needed.
These plurality of state registers contain action information connected to the action identification currently being identified in the action identification circuit 39. This action information has been stored from previously decoded tokens and can affect the action that is selected. The connection 40 is going straight from the token decode 33 to the action identification block 39. This is intended to show that the action can also be affected by the token that is currently being processed by the token decode circuit 33.
In general, there is shown token decoding and data processing in accordance with the present invention. The data processing is performed as configured by the action identification circuit 39. The action is affected by a number of conditions and is affected by information generally derived from a previously decoded token or, more specifically, information stored from previously decoded tokens in registers 43 and 44, the current token under processing, and the state and history information that the action identification unit 39 has itself acquired. A
distinction is thereby shown between Control tokens and DATA
tokens.
In any RPS, some tokens are viewed by that RPS unit as being Control tokens in that they affect the operation of the RPS presumably at some subsequent time. Another set of tokens are viewed by the RPS as DATA tokens. Such DATA
tokens contain information which is processed by the RPS in a way that is determined by the design of the particular circuitry, the tokens that have been previously decoded and the state of the action identification circuit 39. Although a particular RPS identifies a certain set of tokens for that particular RPS control and another set of tokens as data, that is the view of that particular RPS. Another RPS can have a different view of the same token. Some of the tokens ~' 2145156 might be viewed by one RPS unit as DATA Tokens while another RPS unit might decide that it is actually a Control Token.
For example, the quantization table information, as far as the Huffman decoder and state machine is concerned, is data, because it arrives on its input as coded data, it gets formatted up into a series of 8 bit words, and they get formed into a token called a quantization table token (QUANT_TABLE) which goes down the processing pipeline. As far as that machine is concerned, all of that was data; it was handling data, transforming one sort of data into another sort of data, which is clearly a function of the processing performed by that portion of the machine. However, when that information gets to the inverse quantizer, it stores the information in that token a plurality of registers. In fact, because there are 64 8-bit numbers and there are many registers, in general, many registers may be present. This information is viewed as control information, and then that control information affects the processing that is done on subsequent DATA tokens because it affects the number that you multiply each data word. There is an example where one stage viewed that token as being data and another stage viewed it as being control.
Token data, in accordance with the invention is almost universally viewed as being data through the machine. One of the important aspects is that, in general, each stage of circuitry that has a token decoder will be looking for a certain set of tokens, and any tokens that it does not recognize will be passed unaltered through the stage and down the pipeline, so that subsequent stages downstream of the current stage have the benefit of seeing those tokens and may respond to them. This is an important feature, namely there can be communication between blocks that are not adjacent to one another using the token mechanism.
Another important feature of the invention ls that each of - 214~156 the stages of circuitry has the processing capability within it to be able to perform the necessary operations for each of the standards, and the control, as to which operations are to be performed at a given time, come as tokens. There is one processing element that differs between the different stages to provide this capability. In the state machine ROM of the parser, there are three separate entirely different programs, one for each of the standards that are dealt with. Which program is executed depends upon a CODING STANDARD token. In otherwords, each of these three programs has within it the ability to handle both decoding and the CODING_STANDARD
standard token. When each of these programs sees which coding standard, is to be decoded next, they literally jump to the start address in the microcode ROM for that particular program. This is how stages deal with multi-standardness.
Two things are affected by the different standards.
First, it affects what pattern of bits in the bitstream are recognized as a start-code or a marker code in order to reconfigure the shift register to detect the length of the start marker code. Second, there is a piece of information in the microcode that denotes what that start or marker code means. Recall that the coding of bits differs between the three standards. Accordingly, the microcode looks up in a table, specific to that compressor standard, something that is independent of the standard, i.e., a type of token that represents the incoming codes. This token is typically independent of the standard since in most cases, each of the various standards provide a certain code that will produce it.
The inverse quantizer 79 has a mathematical capability. The quantizer multiplies and adds, and has the ability to do all three compression standards which are configured by parameters. For example, a flag bit in the ROM
in control tells the inverse quantizer whether or not to add 21~Sl~

a constant, X. Another flag tells the inverse quantizer whether to add another constant. The inverse quantizer remembers in a register the CODING_STANDARD token as it flows by the quantizer. When DATA tokens pass thereafter, the inverse quantizer remembers what the standard is and it looks up the parameters that it needs to apply to the processing elements in order to perform a proper operation. For example, the inverse quantizer will look up whether K is set to 0, or whether it is set to 1 for a particular compression standard, and will apply that to its processing circuitry.
In a similar sense the Huffman decoder 56 has a number of tables within it, some for JPEG, some for MPEG and some for H.261. The majority of those tables, in fact, will service more than one of those compression standards. Which tables are used depends on the syntax of the standard. The Huffman decoder works by receiving a command from the state machine which tells it which of the tables to use.
Accordingly, the Huffman decoder does not itself directly have a piece of state going into it, which is remembered and which says what coding it is performing. Rather, it is the combination of the parser state machine and Huffman decoder together that contain information within them.
Regarding the Spatial Decoder of the present invention, the address generation is modified and is similar to that shown in Figure 10, in that a number of pieces of information are decoded from tokens, such as the coding standard. The coding standard and additional information as well, is recorded in the registers and that affects the progress of the address generator state machine as it steps through and counts the macroblocks in the system, one after the other. The last stage would be the prediction filter 179 (Figure 17) which operates in one of two modes, either H.261 or MPEG and are easily identified.

. 2145156 7. MU~ 8TANDARD CODING
The system of the present invention also provides a combination of the standard-independent indices generation circuits, which are strategically placed throughout the system in combination with the token decode circuits. For example, the system is employed for specifically decoding either the H.261 video standard, or the MPEG video standard or the JPEG video standard. These three compression coding standards specify similar processes to be done on the arriving data, but the structure of the datastreams is different. As previously discussed, it is one of the functions of the Start Code Detector to detect MPEG start-codes, H.261 start-codes, and JPEG marker codes, and convert them all into a form, i.e., a control token which includes a token stream embodying the current coding standard. The control tokens are passed through the pipeline processor, and are used, i.e., decoded, in the state machines to which they are relevant, and are passed through other state machines to which the tokens are not relevant. In this regard, the DATA
Tokens are treated in the same fashion, insofar as they are processed only in the state machines that are configurable by the control tokens into processing such DATA Tokens. In the remaining state machines, they pass through unchanged.
More specifically, a control token in accordance with the present invention, can consist of more than one word in the token. In that case, a bit known as the extension bit is set specifying the use of additional words in the token for carrying additional information. Certain of these additional control bits contain indices indicating information for use ~o in corresponding state machines to create a set of standard-independent indices signals. The remaining portions of the token are used to indicate and identify the internal processing control function which is standard for all of the datastreams passing through the pipeline processor. In one `- 21451~6 form of the invention, the token extension is used to carry the current coding standard which is decoded by the relative token decode circuits distributed throughout the machine, and is used to reconfigure the action identification circuit 39 of stages throughout the machine wherever it is appropriate to operate under a new coding standard. Additionally, the token decode circuit can indicate whether a control token is related to one of the selected standards which the circuit was designed to handle.
More specifically, an MPEG start code and a JPEG marker are followed by an 8 bit value. The H.261 start code is followed by a 4 bit value. In this context, the Start Code Detector 51, by detecting either an MPEG start-code or a JPEG
marker, indicates that the following 8 bits contain the value associated with the start-code. Independently, it can then create a signal which indicates that it is either an MPEG
start code or a JPEG marker and not an H.261 start code. In this first instance, the 8 bit value is entered into a decode circuit, part of which creates a signal indicating the index and flag which is used within the current circuit for handling the tokens passing through the circuit. This is also used to insert portions of the control token which will be looked at thereafter to determine which standard is being handled. In this sense, the control token contains a portion indicating that it is related to an MPEG standard, as well as a portion which indicates what type of operation should be performed on the accompanying data. As previously discussed, this information is utilized in the system to reconfigure the processing stage used to perform the function required by the various standards created for that purpose.
For example, with reference to the H.261 start code, it is associated with a 4 bit value which follows immediately after the start code. The Start Code Detector passes this value into the token generator state machine. The value is 2145~6 applied to an 8 bit decoder which produces a 3 bit start number. The start number is employed to identify the picture-start of a picture number as indicated by the value.
The system also includes a multi-stage parallel processing pipeline operating under the principles of the two-wire interface previously described. Each of the stages -omprises a machine generally taking the form illustrated in Figure 10. The token decode circuit 33 is employed to direct the token presently entering the state machine into the action identification circuit 39 or the processing unit 36, as appropriate. The processing unit has been previously reconfigured by the next previous control token into the form needed for handling the current coding standard, which is now entering the processing stage and carried by the next DATA
token. Further, in accordance with this aspect of the invention, the succeeding state machines in the processing pipeline can be functioning under one coding standard, i.e., H.261, while a previous stage can be operating under a separate standard, such as MPEG. The same two-wire interface is used for carrying both the control tokens and the DATA
Tokens.
The system of the present invention also utilizes control token~ required to decode a number of coding standards with a fixed number of reconfigurable processing stages. More specifically, the PICTURE_END control token is employed because it is important to have an indication of when a picture actually ends. Accordingly, in designing a multi-standard machine, it is necessary to create additional control tokens within the multi-standard pipeline processing machine which will then indicate which one of the standard decoding techniques to use. Such a control token is the PICTURE_END token. This PICTURE_END token is used to indicate that the current picture has finished, to force the buffers to be flushed, and to push the current picture 21~51~1~

through the decoder to the display.

8. ~LTI-8TANDARD PROCE88INa CIRC~IT - 8ECOND
~ODE OF OPERATION
A compression standard-dependent circuit, in the form of the previously described Start Code Detector, is suitably interConnected to a compression standard-independent circuit over an appropriate bus. The standard-dependent circuit is connected to a combination dependent-independent circuit over the same bus and an additional bus. The standard-independent circuit applies additional input to the standard dependent-independent circuit, while the latter provides information back to the standard-independent circuit. Information from the standard-independent circuit is applied to the output over another suitable bus. Table 600 illustrates that the multiple standards applied as the input to the standard-dependent Start Code Detector 51 include certain bit streams which have standard-dependent meanings within each encoded bit stream.

9. 8TART-CODE DETECTOR
As previously indicated the Start Code Detector, in accordance with the present invention, is capable of taking MPEG, JPEG and H.261 bit streams and generating from them a sequence of proprietary tokens which are meaningful to the rest of the decoder. As an example of how multi-standard decoding is achieved, the MPEG (1 and 2) picture_start_code, the H.261 picture_start_code and the JPEG start_of_scan (SOS) marker are treated as equivalent by the Start Code Detector, and all will generate an internal PICTURE_START token. In a similar way, the MPEG sequence_start_code and the JPEG SOI
(start_of_image) marker both generate a machine sequence start_token. The H.261 standard, however, has no equivalent start code. Accordingly, the Start Code Detector, ~_ - 214515~

in response to the first H.261 picture start_code, will generate a sequence_start token.
None of the above described images are directly used other than in the SCD. Rather, a machine PICTURE_START
token, for example, has been deemed to be equivalent to the PICTURE_START images contained in the bit stream.
Furthermore, it must be borne in mind that the machine PICTURE_START by itself, is not a direct image of the PICTURE_START in the standard. Rather, it is a control token which is used in combination with other control tokens to provide standard-independent decoding which emulates the operation of the images in each of the compression coding standards. The combination of control tokens in combination with the reconfiguration of circuits, in accordance with the information carried by control tokens, is unique in and of itself, as well as in further combination with indices and/or flags generated by the token decode circuit portion of a respective state machine. A typical reconfigurable state machine will be described subsequently.
Referring again to Table 600, there are shown the names of a group of standard images in the left column. In the right column there are shown the machine dependent control tokens used in the emulation of the standard encoded signal which is present or not used in the standard image.
2~ With reference to Table 600, it can be seen that a machine sequence_start signal is generated by the Start Code Detector, as previously described, when it decodes any one of the standard signals indicated in Table 600. The Start Code Detector creates sequence_start, group start, sequence_end, ~0 slice_start, user-data, extra-data and PICTURE START tokens for application to the two-wire interface which is used throughout the system. Each of the stages which operate in coniunction with these control tokens are configured by the contents of the tokens, or are configured by indices created ` 214~156 by co~ntè~ts of the tokens, and are prepared to handle data which is expected to be received when the picture DATA Token arrives at that station.
As previously described, one of the compression standards, such as H.261, does not have a sequence_start image in its data stream, nor does it have a PICTURE_END
image in its data stream. The Start Code Detector indicates the PICTURE END point in the incoming bit stream and creates a PICTURE_END token. In this regard, the system of the present invention is intended to carry data words that are fully packed to contain a bit of information in each of the register positions selected for use in the practice of the present invention. To this end, 15 bits have been selected as the number of bits which are passed between two start codes. Of course, it will be appreciated by one of ordinary skill in the art, that a selection can be made to include either greater or fewer than 15 bits. In other words, all 15 bits of a data word being passed from the Start Code Detector into the DRAM interface are required for proper operation.
Accordingly, the Start Code Detector creates extra bits, called padding, which it inserts into the last word of a DATA
Token. For purposes of illustration 15 data bits has been selected.
To perform the Padding operation, in accordance with the present invention, binary 0 followed by a number of binary l's are automatically inserted to complete the 15 bit data word. This data is then passed through the coded data buffer and presented to the Huffman decoder, which removes the padding. Thus, an arbitrary number of bits can be passed through a buffer of fixed size and width.
In one embodiment, a slice_start control token is used to identify a slice of the picture. A slice_start control token is employed to segment the picture into smaller regions. The size of the region is chosen by the encoder, --` 214515G

and the-Start Code Detector identifies this unique pattern of the slice start code in order for the machine-dependent state stages, located downstream from the Start Code Detector, to segment the picture being received into smaller regions. The size of the region is chosen by the encoder, recognized by the Start Code Detector and used by the recombination circuitry and control tokens to decompress the encoded picture. The slice_start codes are principally used for error recovery.
The start codes provide a unique method of starting up the decoder, ànd this will subsequently be described in further detail. There are a number of advantages in placing the Start Code Detector before the coded data buffer, as opposed to placing the Start Code Detector after the coded data buffer and before the Huffman decoder and video demultiplexor. Locating the Start Code Detector before the first buffer allows it to l) assemble the tokens, 2) decode the standard control signals, such as start codes, 3) pad the bitstream before the data goes into the buffer, and 4) create the proper sequence of control tokens to empty the buffers, pushing the available data from the buffers into the Huffman Decoder.
Most of the control token output by the Start Code . Detector directly reflect syntactic elements of the various picture and video coding standards. The Start Code Detector converts the syntactic elements into control tokens. In addition to these natural tokens, some unique and/or machine-dependent tokens are generated. The unique tokens include those tokens which have been specifically designed for use with the system of the present invention which are unique in and of themselves, and are employed for aiding in the multi-standard nature of the present invention. Examples of such unique tokens include PICTURE_END and CODING_STANDARD-Tokens are also introduced to remove some of the ~ ` 2145156 syntaetic differences between the coding standards and tofunction in co-operation with the error conditions. The automatic token generation is done after the serial analysis of the standard-dependent data. Therefore, the Spatial Decoder responds equally to tokens that have been supplied directly to the input of the Spatial Decoder, i.e. the SCD, as well as to tokens that have been generated following the detection of the start-codes in the coded data. A sequence of extra tokens is inserted into the two- wire interface in order to control the multi-standard nature of the present invention.
The MPEG and H.261 coded video streams contain standard dependent, non-data, identifiable bit patterns, one of which is hereinafter called a start image and/or standard-dependent code. A similar function is served in JPEG, by marker codes.
These start/marker codes identify significant parts of the syntax of the coded datastream. The analysis of start/marker codes performed by the Start Code Detector is the first stage in parsing the coded data.
The start/marker code patterns are designed so that they can be identified without decoding the entire bit stream.
Thus, they can be used, in accordance with the present invention, to assist with error recovery and decoder start-up. The Start Code Detector provides facilities to detect errors in the coded data construction and to assist the start-up of the decoder. The error detection capability of the Start Code Detector will subsequently be discussed in further detail, as will the process of starting up of the decoder.
The aforementioned description has been concerned primarilty with the characteristics of the machine-dependent bit stream and its relationship with the addressing characteristics of the present invention. The following description is of the bit stream characteristiCS of the stand~rd-dependent coded data with reference to the Start Code Detector.
Each of the standard compression encoding systems employs a unique start code configuration or image which has been selected to identify that particular compression specification. Each of the start codes also carries with it a start code value. The start code value is employed to identify within the language of the standard the type of operation that the start code is associated with. In the multi-standard decoder of the present invention, the compatibility is based upon the control token and DATA token configuration as previously described. Index signals, including flag signals, are circuit-generated within each state machine, and are described hereinafter as appropriate.
The start and/or marker codes contained in the standards, as well as other standard words as opposed to data words, are sometimes identified as images to avoid confusion with the use of code and/or machine-dependent codes to refer to the contents of control and/or DATA tokens used in the machine. Also, the term start code is often used as a generic term to refer to JPEG marker codes as well as MPEG
and H.261 start codes. Marker codes and start codes serve the same purpose. Also, the term "flush" is used both to refer to the FLUSH token, and as a verb, for example when referring to flushing the Start Code Detector shift registers (including the signal "flushed"). To avoid confusion, the FLUSH token is always written in upper case. All other uses of the term (verb or noun) are in lower case.
The standard-dependent coded input picture input stream 'C comprises data and start images of varying lengths. The start images carry with them a value telling the user what operation is to be performed on the data which immediately follows according to the standard. However, in the multi-standard pipeline processing system of the present invention, _ 21~5~ 56 where compatibility is required for multiple standards, the system has been optimized for handling all functions in all standards. Accordingly, in many situations, unique start control tokens must be created which are compatible not only with the values contained in the values of the encoded signal standard image, but which are also capable of controlling the various stages to emulate the operation of the standard as represented by specified parameters for each standard which are well known in the art. All such standards are incorporated by reference into this specification.
It is important to understand the relationship between tokens which, alone or in combination with other control tokens, emulate the nondata information contained in the standard bit stream. A separate set of index signals, including flag signals, are generated by each state machine to handle some of the processing within that state machine.
Values carried in the standards can be used to access machine dependent control signals to emulate the handling of the standard data and non-data signals. For example, the slice_start token is a two word token, and it is then entered onto the two wire interface as previously described.
The data input to the system of the present invention may be a data source from any suitable data source such as disk, tape, etc., the data source providing 8 bit data to the first functional stage in the Spatial Decoder, the Start Code Detector 51 (Figure 11). The Start Code Detector includes three shift registers; the first shift register is 8 bits wide, the next is 24 bits wide, and the next is 15 bits wide.
Each of the registers is part of the two-wire interface. The data from the data source is loaded into the first register as a single 8 bit byte during one timing cycle. Thereafter, the contents of the first shift register is shifted one bit at a time into the decode (second) shift register. After 24 cycles, the 24 bit register is full.

~ 214~156 Every 8 cycles, the 8 bit bytes are loaded into the first shift register. Each byte is loaded into the value shift register 221 (Figure 20), and 8 additional cycles are used to empty it and load the shift register 231. Eight cycles are used to empty it, so after three of those operations or 24 cycles, there are still three bytes in the 24 bit register. The value decode shift register 230 is still empty.
Assuming that there is now a PICTURE_START word in the 24 bit shift register, the detect cycle recognizes the PICTURE_START code pattern and provides a start signal as its output. Once the detector has detected a start, the byte following it is the value associated with that start code, and this is currently sitting in the value register 221.
Since the contents of the detect shift register has been identified as a start code, its contents must be removed from the two wire interface to ensure that no further processing takes place using these 3 bytes. The decode register is emptied, and the value decode shift register 230 waits for the value to be shifted all the way over to such register.
The contents now of the low order bit positions of the value decode shift register contains a value associated with the PICTURE START. The Spatial Decoder equivalent to the standard PICTURE START signal is referred to as the SD
PICTURE START signal. The SD PICTURE START signal itself is going to now be contained in the token header, and the value is going to be contained in the extension word to the token header.

10. TO~EN~
In the practice of the present invention, a token is a universal adaptation unit in the form of an interactive interfacing messenger package for control and/or data functions and is adapted for use with a reconfigurable proce~si~g stage (RPS) which is a stage, which in response to a recognized token, reconfigures itself to perform various operations.
Tokens may be either position dependent or position independent upon the processing stages for performance of various functions. Tokens may also be metamorphic in that they can be altered by a processing stage and then passed down the pipeline for performance of further functions.
Tokens may interact with all or less than all of the stages and in this regard may interact with adjacent and/or non-adjacent stages. Tokens may be position dependent for some functions and position independent for other functions, and the specific interaction with a stage may be conditioned by the previous processing history of a stage.
A PICTURE_END token is a way of signalling the end of a picture in a multi-standard decoder.
A multi-standard token is a way of mapping MPEG, JPEG
and H.261 data streams onto a single decoder using a mixture of standard dependent and standard independent hardware and control tokens.
A SEARCH_MODE token is a technique for searching MPEG, JPEG and H.261 data streams which allows random access and enhanced error recovery.
A STOP_AFTER_PICTURE token is a method of achieving a 2~ clear end to decoding which signals the end of a picture and clears the decoder pipeline, i.e., channel change.
Furthermore, padding a token is a way of passing an arbitrary number of bits through a fixed size, fixed width buffer.
.0 The present invention is directed to a pipeline processing system which has a variable configuration which uses tokens and a two-wire system. The use of control tokens and DATA Tokens in combination with a two-wire system facilitates a multi-standard system capable of having ~-. ` 2145156 exten~ed operating capabilities as compared with those systems which do not use control tokens.
The control tokens are generated by circuitry within the decoder processor and emulate the operation of a number of different type standard-dependent signals passing into the serial pipeline processor for handling. The technique used is to study all the parameters of the multi-standards that are selected for processing by the serial processor and noting l) their similarities, 2) their dissimilarities, 3) their needs and requirements and 4) selecting the correct token function to effectively process all of the standard signals sent into the serial processor. The functions of the tokens are to emulate the standards. A control token function is used partially as an emulation/translation between the standard dependent signals and as an element to transmit control information through the pipeline processor.
In prior art system, a dedicated machine is designed according to well-known techniques to identify the standard and then set up dedicated circuitry by way of microprocessor interfaces. Signals from the microprocessor are used to control the flow of data through the dedicated downstream components. The selection, timing and organization of this decompression function is under the control of fixed logic circuitry as assisted by signals coming from the microprocessor.
In contrast, the system of the present invention configures the downstream functional stages under the control of the control tokens. An option is provided for obtainin~
needed and/or alternative control from the MPU.
The tokens provide and make a sensible format for communicating information through the decompression circuit pipeline processor. In the design selected hereinafter a~d used in the preferred embodiment, each word of a token is a ~inimum of 8 bits wide, and a single token can extend over '" 21451S~

one F-m~ore words. The width of the token is changeable and can be selected as any number of bits. An extension bit indicates whether a token is extended beyond the current word, i.e., if it is set to binary one in all words of a token, except the last word of a token. If the first word of a token has an extension bit of zero, this indicates that the token is only one word long.
Each token is identified by an address field that starts at bit 7 of the first word of the token. The address field is variable in length and can potentially extend over multiple words. In a preferred embodiment, the address is no longer than 8 bits long. However, this is not a limitation on the invention, but on the magnitude of the processing steps elected to be accomplished by use of these tokens. It is to be noted under the extension bit identification label that the extension bit in words 1 and 2 is a l, signifying that additional words will be coming thereafter. The extension bit in word 3 is a zero, therefore indicating the end of that token.
The token is also capable of variable bit length. For example, there are 9 bits in the token word plus the extension bit for a total of lO bits. In the design of the present invention, output buses are of variable width. The output from the Spatial Decoder is 9 bits wide, or 10 bits 2~ wide when the extension bit is included. In a preferred embodiment, the only token that takes advantage of these extra bits is the DATA token; all other tokens ignore this extra bit. It should be understood that this is not a limitation, but only an implementation.
7 0 Through the use of the DATA token and control token configuration, it is possible to vary the length of the data being carried by these DATA tokens in the sense of the number of bits in one word. For example, it has been discussed that data bits in word of a DATA Token can be combined with the ` 21~51~6 data~bits in another word of the same DATA token to form an 11 bit or 10 bit address for use in accessing the random access memories used throughout this serial decompression processor. This provides an additional degree of variability that facilitates a broad range of versatility.
As previously described, the DATA token carries data from one processing stage to the next. Consequently, the characteristics of this token change as it passes through the decoder. For example, at the input to the Spatial Decoder, DATA Tokens carry bit serial coded video data packed into 8 bit words. Here, there is no limit to the length of each token. However, to illustrate the versatility of this aspect of the invention (at the output of the Spatial Decoder circuit), each DATA Token carries exactly 64 words and each word is 9 bits wide. More specifically, the standard encoding signal allows for different length messages to encode different intensities and details of pictures. The first picture of a group normally carries the longest number of data bits because it needs to provide the most information to the processing unit so that it can start the decompression with as much information as possible. Words which follow later are typically shorter in length because they contain the difference signals comparing the first word with reference to the second position on the scan information field.
The words are interspersed with each other, as required by the standard encoding system, so that variable amounts of data are provided into the input of the Spatial Decoder.
However, after the Spatial Decoder has functioned, the ~o information is provided at its output at a picture format rate suitable for display on a screen. The output rate in terms of time of the spatial decoder may vary in order to interface with various display systems throughout the world, such as NTSC, PAL and SECAM. The video formatter conver~s - 214515~

this variable picture rate to a constant picture rate suitable for display. However, the picture data is still carried by DATA tokens consisting of 64 words.

11. DRAM INT~RFACE
A single high performance, configurable DRAM interface is used on each of the 3 decoder chips. In general, the DRAM
interface on each chip is substantially the same; however, the interfaces differ from one to another in how they handle channel priorities. This interface is designed to directly drive the external DRAMs used by the Spatial Decoder, the Temporal Decoder and the Video Formatter. Typically, no external logic, buffers or components will be required to connect the DRAM interface to the DRAMs in those systems.
In accordance with the present invention, the interface is configurable in two ways:
1. The detailed timing of the interface can be configured to accommodate a variety of different DRAM types.
2. The width of the data interface to the DRAM can be configured to provide a cost/performance trade off for different applications.
In general, the DRAM interface is a standard-independent block implemented on each of the three chips in the system.
Again, these are the Spatial Decoder, Temporal Decoder and video formatter. Referring again to Figures 11, 12 and 13, these figures show block diagrams that depict the relationship between the DRAM interface, and the remaining blocks of the Spatial Decoder, Temporal Decoder and video formatter, respectively. On each chip, the DRAM interface connects the chip to an external DRAM. External DRAM is used because, at present, it is not practical to fabricate on chip the relatively large amount of DRAM needed. Note: each chip has its own external DRAM and its own DRAM interface.

~ 21451~

Furthermore, while the DRAM interface is compression standard-independent, it still must be configured to implement each of the multiple standards, H.261, JPEG and MPEG. How the DRAM interface is reconfigured for multi-standard operation will be subsequently further describedherein.
Accordingly, to understand the operation of the DRAM
interface requires an understanding of the relationship between the DRAM interface and the address generator, and how the two communicate using the two wire interface.
In general, as its name implies, the address generator generates the addresses the DRAM interface needs in order to address the DRAM (e.g., to read from or to write to a particular address in DRAM). With a two-wire interface, reading and writing only occurs when the DRAM interface has both data (from preceding stages in the pipeline), and a valid address (from address generator). The use of a separate address generator simplifies the construction of both the address generator and the DRAM interface, as discussed further below.
In the present invention, the DRAM interface can operate from a clock which is asynchronous to both the address generator and to the clocks of the stages through which data is passed. Special techniques have been used to handle this asynchronous nature of the operation.
Data is typically transferred between the DRAM interface and the rest of the chip in blocks of 64 bytes (the only exception being prediction data in the Temporal Decoder).
~ransfers take place by means of a device known as a "swing ~o buffer". This is essentially a pair of RAMs operated in a double-buffered configuration, with the DRAM interface filling or emptying one RAM while another part of the chip empties or fills the other RAM. A separate bus which carries an address from an address generator is associated with each 21-~51~6 swing buffer.
In the present invention, each of the chips has four swing buffers, but the function of these swing buffers is different in each case. In the spatial decoder, one swing buffer is S used to transfer coded data to the DRAM, another to read coded data from the DRAM, the third to transfer tokenized data to the DRAM and the fourth to read tokenized data from the DRAM. In the Temporal Decoder, however, one swing buffer is used to write intra or predicted picture data to the DRAM, the second to read intra or predicted data from the DRAM and the other two are used to read forward and backward prediction data. In the video formatter, one swing buffer is used to transfer data to the DRAM and the other three are used to read data from the DRAM, one for each of luminance (Y) and the red and blue color difference data (Cr and Cb, respectively).
The following section describes the operation of a hypothetical DRAM interface which has one write swing buffer and one read swing buffer. Essentially, this is the same as the operation of the Spatial Decoder's DRAM interface. The operation is illustrated in Figure 23.
Figure 23 illustrates that the control interfaces between the address generator 301, the DRAM interface 302, and the remaining stages of the chip which pass data are all two wire interfaces. The address generator 301 may either generate addresses as the result of receiving control tokens, or it may merely generate a fixed sequence of addresses (e.g., for the FIFO buffers of the Spatial Decoder). The DRAM interface treats the two wire interfaces associated with the address generator 301 in a special way. Instead of keeping the accept line high when it is ready to receive an address, it waits for the address generator to supply a valid address, processes that address and then sets the accept line high for one clock period. Thus, it implements a 21~5156 request/acknowledge (REQ/ACK) protocol.
A unique feature of the DRAM interface 302 is its ability to communicate independently with the address generator 301 and with the stages that provide or accept the data. For example, the address generator may generate an address associated with the data in the write swing buffer (Figure 24), but no action will be taken until the write swing buffer signals that there is a block of data ready to be written to the external DRAM. Similarly, the write swing buffer may contain a block of data which is ready to be written to the external DRAM, but no action is taken until an address is supplied on the appropriate bus from the address generator 301. Further, once one of the RAMs in the write swing buffer has been filled with data, the other may be completely filled and "swung" to the DRAM interface side before the data input is stalled (the two-wire interface accept signal set low).
In understanding the operation of the DRAM interface 302 of the present invention, it is important to note that in a properly configured system, the DRAM interface will be able to transfer data between the swing buffers and the external DRAM 303 at least as fast as the sum of all the average data rates between the swing buffers and the rest of the chip.
Each DRAM interface 302 determines which swing buffer it will service next. In general, this will either be a "round robin" (i.e., the next serviced swing buffer is the next available swing buffer which has least recently had a turn), or a priority encoder, (i.e., in which some swing buffers have a higher priority than others). In both cases, an additional request will come from a refresh request generator which has a higher priority than all the other requests. The refresh request is generated from a refresh counter which can be programmed via the microprocessor interface.
Referring now to Figure 24, there is shown a block 2 1 ~ 6 diagram of a write swing buffer. The write swing buffer interface includes two blocks of RAM, RAMl 311 and RAM2 312.
As discussed further herein, data is written into RAM1 311 and RAM2 312 from the previous stage, under the control of the write address 313 and control 314. From RAMl 311 and RAM2 312, the data is written into DRAM 515. When writing data into DRAM 315, the DRAM row address is provided by the address generator, and the column address is provided by the write address and control, as described further herein. In operation, valid data is presented at the input 316 (data in). Typically, the data is received from the previous stage. As each piece of data is accepted by the DRAM
interface, it is written into RAM1 311 and the write address control increments the RAM1 address to allow the next piece of data to be written into RAMl. Data continues to be written into RAM1 311 until either there is no more data, or RAMl is full. When RAM1 311 is full, the input side gives up control and sends a signal to the read side to indicate that RAMl is now ready to be read. This signal passes between two asynchronous clock regimes and, therefore, passes through three synchronizing flip flops.
Provided RAM2 312 is empty, the next item of data to arrive on the input side is written into RAM2. Otherwise, this occurs when RAM2 312 has emptied. When the round robin or priority encoder (depending on which is used by the particular chip) indicates that it is now the turn of this swing buffer to be read, the DRAM interface reads the contents of RAMl 311 and writes them to the external DRAM
315. A signal is then sent back across the asynchronous interface, to indicate that RAM1 311 is now ready to be filled again.
If the DRAM interface empties RAMl 311 and "swings" it before the input side has filled RAM2 312 , then data can be ~ 2145156 acce~t~ed by the swing buffer sontinually. Otherwise, when RAM2 is filled, the swing buffer will set its accept single low until RAM1 has been "swung" back for use by the input side.
The operation of a read swing buffer, in accordance with the present invention, is similar, but with the input and output data busses reversed.
The DRAM interface of the present invention is designed to maximize the available memory bandwidth. Each 8x8 block of data is stored in the same DRAM page. In this way, full use can be made of DRAM fast page access modes, where one row address is supplied followed by many column addresses. In particular, row addresses are supplied by the address generator, while column addresses are supplied by the DRAM
interface, as discussed further below.
In addition, the facility is provided to allow the data bus to the external DRAM to be 8, 16 or 32 bits wide.
Accordingly, the amount of DRAM used can be matched to the size and bandwidth requirements of the particular application.
In this example (which is exactly how the DRAM interface on the Spatial Decoder works) the address generator provides the DRAM interface with block addresses for each of the read and write swing buffers. This address is used as the row address for the DRAM. The six bits of column address are supplied by the DRAM interface itself, and these bits are also used as the address for the swing buffer RAM. The data bus to the swing buffers is 32 bits wide. Hence, if the bus width to the external DRAM is less than 32 bits, two or four external DRAM accesses must be made before the next word is read from a write swing buffer or the next word is written to a read swing buffer (read and write refer to the direction of transfer relative to the external DRAM).
The situation is more complex in the case of the - 21451~6 Temporal Decoder and the Video Formatter. The Temporal Decoder's addressing is more complex because of its predictive aspects as discussed further in this section. The video formatter's addressing is more complex because of multiple video output standard aspects, as discussed further in the sections relating to the video formatter.
As mentioned previously, the Temporal Decoder has four swing buffers: two are used to read and write decoded intra and predicted (I and P) picture data. These operate as described above. The other two are used to receive prediction data. These buffers are more interesting.
In general, prediction data will be offset from the position of the block being processed as specified in the motion vectors in x and y. Thus, the block of data to be retrieved will not generally correspond to the block boundaries of the data as it was encoded (and written into the DRAM). This is illustrated in Figure 25, where the shaded area represents the block that is being formed whereas the dotted outline represents the block from which it is being predicted. The address generator converts the address specified by the motion vectors to a block offset (a whole number of blocks), as shown by the big arrow, and a pixel offset, as shown by the little arrow.
In the address generator, the frame pointer, base block 2S address and vector offset are added to form the address of the block to be retrieved from the DRAM. If the pixel offset is zero, only one request is generated. If there is an offset in either the x or y dimension then two requests are generated, i.e., the original block address and the one immediately below. With an offset in both x and y, four requests are generated. For each block which is to be retrieved, the address generator calculates start and stop addresses which is best illustrated by an example.
Consider a pixel offset of (1,1), as illustrated by the shaded area in Figure 26. The address generator makes four requests, labelled A through D in the Figure. The problem to be solved is how to provide the required sequence of row addresses quickly. The solution is to use "start/stop"
technology, and this is described below.
Consider block A in Figure 26. Reading must start at position (1,1) and end at position (7,7). Assume for the moment that one byte is being read at a time (i.e., an 8 bit DRAM interface). The x value in the co-ordinate pair forms the three LSBs of the address, the y value the three MSB.
The x and y start values are both 1, providing the address, 9. Data is read from this address and the x value is incremented. The process is repeated until the x value reaches its stop value, at which point, the y value is incremented by 1 and the x start value is reloaded, giving an address of 17. As each byte of data is read, the x value is again incremented until it reaches its stop value. The process is repeated until both x and y values have reached their stop values. Thus, the address sequence of 9, 10, 11, 12, 13, 14, 15, 17... , 23, 25, ... ,31, 33,... ,... ,57,... ,63 is generated.
In a similar manner, the start and stop co-ordinates for block B are: (1,0) and (7,0), for block C: (0,1) and (0,7), and for block D: (0,0) and (0,0).
The next issue is where this data should be written.
Clearly, looking at block A, the data read from address 9 should be written to address 0 in the swing buffer, while the data from address 10 should be written to address 1 in the swing buffer, and so on. Similarly, the data read from address 8 in block B should be written to address 15 in the swing buffer and the data from address 16 should be written to address 15 in the swing buffer. This function turns out to have a very simple implementation, as outlined below.
Consider block A. At the start of reading, the swing 21 4 ~;1 r5 6 buffer address register is loaded with the inverse of the stop value. The y inverse stop value forms the 3 MSBs and the x inverse stop value forms the 3 LSB. In this case, while the DRAM interface is reading address 9 in the external DRAM, the swing buffer address is zero. The swing buffer address register is then incremented as the external DRAM
address register is incremented, as consistent with proper prediction addressing.
The discussion so far has centered on an 8 bit DRAM
interface. In the case of a 16 or 32 bit interface, a few minor modifications must be made. First, the pixel offset vector must be "clipped" so that it points to a 16 or 32 bit boundary. In the example we have been using, for block A, the first DRAM read will point to address 0, and data in addresses 0 through 3 will be read. Second, the unwanted data must be discarded. This is performed by writing all the data into the swing buffer (which must now be physically larger than was necessary in the 8 bit case) and reading with an offset. When performing MPEG half-pel interpolation, 9 bytes in x andtor y must be read from the DRAM interface. In this case, the address generator provides the appropriate start and stop addresses. Some additional logic in the DRAM
interface is used, but there is no fundamental change in the way the DRAM interface operates.
The final point to note about the Temporal Decoder DRAM
interface of the present invention, is that additional information must be provided to the prediction filters to indicate what processing is required on the data. This consists of the following:
a "last byte" signal indicating the last byte of a transfer (of 64,72 or 81 bytes);
an H.261 flag;
a bidirectional prediction flag;
two bits to indicate the block's dimensions (8 or 9 bytes ~ ` 2145156 in x and y); and a two bit number to indicate the order of the blocks.
The last byte flag can be generated as the data is read out of the swing buffer. The other signals are derived from the address generator and are piped through the DRAM
interface so that they are associated with the correct block of data as it is read out of the swing buffer by the prediction filter block.
In the Video Formatter, data is written into the external DRAM in blocks, but is read out in raster order.
Writing is exactly the same as already described for the Spatial Decoder, but reading is a little more complex.
The data in the Video Formatter, external DRAM is organized so that at least 8 blocks of data fit into a single page. These 8 blocks are 8 consecutive horizontal blocks.
When rasterizing, 8 bytes need to be read out of each of 8 consecutive blocks and written into the swing buffer (i.e., the same row in each of the 8 blocks).
Considering the top row (and assuming a byte-wide interface), the x address (the three LSBS) is set to zero, as is the y address (3 MSBS). The x address is then incremented as each of the first 8 bytes are read out. At this point, the top part of the address (bit 6 and above - LSB = bit 0) is incremented and the x address (3 LSBS) is reset to zero.
This process is repeated until 64 bytes have been read. With a 16 or 32 bit wide interface to the external DRAM the x address is merely incremented by two or four, respectively, instead of by one.
In the present invention, the address generator can 30 signal to the DRAM interface that less than 64 bytes should be read (this may be required at the beginning or end of a raster line)~ although a multiple of 8 bytes is always read.
This is achieved by using start and stop values. The start value is used for the top part of the address (bit 6 and - ` 2I lS156 above), and the stop value is compared with the start value to generate the signal which indicates when reading should stop.
The DRAM interface timing block in the present invention uses timing chains to place the edges of the DRAM signals to a precision of a quarter of the system clock period. Two quadrature clocks from the phase locked loop are used. These are combined to form a notional 2x clock. Any one chain is then made from two shift registers in parallel, on opposite phases of the 2x clock.
First of all, there is one chain for the page start cycle and another for the read/write/refresh cycles. The length of each cycle is programmable via the microprocessor interface, after which the page start chain has a fixed length, and the cycle chain's length changes as appropriate during a page start.
On reset, the chains are cleared and a pulse is created.
The pulse travels along the chains and is directed by the state information from the DRAM interface. The pulse generates the DRAM interface clock. Each DRAM interface clock period corresponds to one cycle of the DRAM, consequently, as the DRAM cycles have different lengths, the DRAM interface clock is not at a constant rate.
Moreover, additional timing chains combine the pulse from the above chains with the information from the DRAM
interface to generate the output strobes and enables such as notcas, notras, notwe, notbe.

12. PRFDICTION FILTER8 Referring again to Figures 12, 17, 18, and more particularly to Figure 12, there is shown a block diagram of the Temporal Decoder. This includes the prediction filter.
The relationship between the prediction filter and the rest of the elements of the temporal decoder is shown in greater 2lq5ls6 detail in Figure 17. The essence of the structure of the prediction filter is shown in Figures 18 and 28. A detailed description of the operation of the prediction filter can be found in the section, "More Detailed Description of the Invention. n In general, the prediction filter in accordance with the present invention, is used in the MPEG and H.261 modes, but not in the JPEG mode. Recall that in the JPEG mode, the Temporal Decoder just passes the data through to the Video Formatter, without performing any substantive decoding beyond that accomplished by the Spatial Decoder. Referring again to Figure 18, in the MPEG mode the forward and backward prediction filters are identical and they filter the respective MPEG forward and backward prediction blocks. In the H.261 mode, however, only the forward prediction filter is used, since H.261 does not use backward prediction.
Each of the two prediction filters of the present invention is substantially the same. Referring again to Figures 18 and 28 and more particularly to Figure 28, there is shown a block diagram of the structure of a prediction filter. Each prediction filter consists of four stages in series. Data enters the format stage 331 and is placed in a format that can be readily filtered. In the next stage 332 an I-D prediction is performed on the X-coordinate. After the necessary transposition is performed by a dimension buffer stage 333, an I-D prediction is performed on the Y-coordinate in stage 334. How the stage perform the filtering is further described in greater detail subsequently. Which filtering operations are required, are defined by the compression standard. In the case of H.261, the actual filtering performed is similar to that of a low pass filter.
Referring again to Figure 17, multi-standard operation requires that the prediction filters be reconfigurable to perform either MPEG or H.261 filtering, or to perform no filtering at all in JPEG mode. As with many other reconfigurable aspects of the three chip system, the prediction filter is reconfigured by means of tokens. Tokens are also used to inform the address generator of the particular mode of operation. In this way, the address generator can supply the prediction filter with the addresses of the needed data, which varies significantly between MPEG
and JPEG.

13. ACCE88ING REGI8TER8 Most registers in the microprocessor interface (MPI) can only be modified if the stage with which they are associated is stopped. Accordingly, groups of registers will typically be associated with an access register. The value zero in an access register indicates that the group of registers associated with that particular access register should not be modified. Writing 1 to an access register requests that a stage be stopped. The stage may not stop immediately, however, so the stages access register will hold the value, zero, until it is stopped.
Any user software associated with the MPI and used to perform functions by way of the MPI should wait "after writing a 1 to a request access register" until 1 is read from the access register. If a user writes a value to a configuration register while its access register is set to zero, the results are undefined.

14. MICRO-PROCE880R INTERFACE
A standard byte wide micro-processor interface ~MPI) is used on all circuits with in the Spatial Decoder and Temporal Decoder. The MPI operates asynchronously with various Spatial and Temporal Decoder clocks. Referring to Table A.6.1 of the subsequent further detailed description, there is shown the various MPI signals that are used on this interface. The character of the signal is shown on the input/output column, the signal name is shown on the signal name column and a description of the function of the signal is shown in the description column. The MPI
electrical specification are shown with reference to Table A.6.2. All the specifications are classified according to type and there types are shown in the column entitled symbol. The description of what these symbols represent is shown in the parameter column. The actual specifications are shown in the respective columns min, max and units.
The DC operating conditions can be seen with reference to Table A.6.3. Here the column headings are the same as with reference to Table A.6.2. The DC electrical characteristics are shown with reference to Table A.6.4 and carry the same column headings as depicted in Tables A.6.2 and A.6.3.

15. MPI READ TIMING
The AC characteristics of the MPI read timing diagrams are shown with reference to Figure 54. Each line of the Figure is labelled with a corresponding signal name and the timing is given in nano-seconds. The full microprocessor interface read timing characteristics are shown with reference to Table A.6.5. The column entitled Number is used to indicate the signal corresponding to the name of that signal as set forth in the characteristic column. The columns identified by MIN and MAX provide the minimum length of time that the signal is present the maximum amount of time that this signal is available. The Units column gives the units of measurement used to describe the signals.

16. MPI ~RITE TIMING
The general description of the MPI write timing diagrams - 2I ~515S

are shown with reference to Figure 54. This Figure shows each individual signal name as associated with the MPI
write timing. The name, the characteristic of the signal, and other various physical characteristics are shown with reference to Table 6.6.

17. ~OL~ ~nnp~P~ LOCATION8 In the present invention, certain less frequently accessed memory map locations have been placed behind keyhole registers. A keyhole register has two registers associated with it. The first register is a keyhole address register and the second register is a keyhole data register. The keyhole address specifies a location within a extended address space. A read or a write operation to a keyhole data register accesses the locations specified by the keyhole address register. After accessing a keyhole data register, the associated keyhole address register increments. Random access within the extended address space is only possible by writing in a new value to the keyhole address register for each access. A circuit within the present invention may have more than one keyhole memory maps. Nonetheless, there is no interaction between the different keyholes.

18. PICT~RE-~ND
Referring again to Figure 11, there is shown a general block diagram of the Spatial Decoder used in the present invention. It is through the use of this block diagram that the function of PICTURE_END will be described.
The PICTURE_END function has the multi-standard advantage of being able to handle H.261 encoded picture information, MPEG and JPEG signals.
As previously described, the system of Figure 11 is interconnected by the two wire interface previously - ` 214il5~

described. Each of the functional blocks is arranged to operate according to the state machine configuration shown with reference to Figure 10.
In general, the PICTURE END function in accordance with the invention begins at the Start Code Detector which generates a PICTURE_END control token. The PICTURE END
control token is passed unaltered through the start-up control circuit to the DRAM interface. Here it is used to flush out the write swing buffers in the DRAM interface.
Recall, that the contents of a swing buffer are only written to RAM when the buffer is full. However, a picture may end at a point where the buffer is not full, therefore, causing the picture data to become stuck. The PICTURE_END
token forces the data out of the swing buffer.
Since the present invention is a multi-standard machine, the machine operates differently for each compression standard. More particularly, the machine is fully described as operating pursuant to machine-dependent action cycles. For each compression standard, a certain number of the total available action cycles can be selected by a combination of control tokens and/or output signals from the MPU or they can be selected by the design of the control tokens themselves. In this regard, the present invention is organized so as to delay the information from going into subsequent blocks until all of the information has been collected in an upstream block. The system waits until the data has been prepared for passing to the next stage. In this way, the PICTURE_END signal is applied to the coded data buffer, and the control portion of the PICTURE_E~D signal causes the contents of the data buffers to be read and applied to the Huffman decoder and video demultiplexor circuit.
Another advantage of the PICTURE END control token is to identify, for the use by the Huffman decoder 14515~
r demultiplexor, the end of picture even though it has not had the typically expected full range and/or number of signals applied to the Huffman decoder and video demultiplexor circuit. In this situation, the information held in the coded data buffer is applied to the Huffman decoder and video demultiplexor as a total picture. In this way, the state machine of the Huffman decoder and video demultiplexor can still handle the data according to system design.
Another advantage of the PICTURE_END control token is its ability to completely empty the coded data buffer so that no stray information will inadvertently remain in the off chip DRAM or in the swing buffers.
Yet another advantage of the PICTURE_END function is its use in error recovery. For example, assume the amount of data being held in the coded data buffer is less than is typically used for describing the spatial information with reference to a single picture. Accordingly, the last picture will be held in the data buffer until a full swing buffer, but, by definition, the buffer will never fill. At some point, the machine will determine that an error condition exits. Hence, to the extent that a PICTURE_END
token is decoded and forces the data in the coded data buffers to be applied to the Huffman decoder and video demultiplexor, the final picture can be decoded and the information emptied from the buffers. Consequently, the machine will not go into error recovery mode and will successfully continue to process the coded data.
A still further advantage of the use of a PICTURE_END
'0 token is that the serial pipeline processor will continue the processing of uninterrupted data. Through the use of a PICTURE_END token, the serial pipeline processor is configured to handle less than the expected amount of data and, therefore, continues processing. Typically, a prior 21~5155 art machine would stop itself because of an error condition. As previously described, the coded data buffer counts macroblocks as they come into its storage area. In addition, the Huffman Decoder and Video Demultiplexor generally know the amount of information expected for decoding each picture, i.e., the state machine portion of the Huffman decode and Video Demultiplexor know the number of blocks that it will process during each picture recovery cycle. When the correct number of blocks do not arrive from the coded data buffer, typically an error recovery routine would result. However, with the PICTURE_END
control token having reconfigured the Huffman Decoder and Video Demultiplexor, it can continue to function because the reconfiguration tells the Huffman Decoder and Video Demultiplexor that it is, indeed, handling the proper amount of information.
Referring again to Figure 10, the Token Decoder portion of the Buffer Manager detects the PICTURE END
control token generated by the Start Code Detector. Under normal operations, the buffer registers fill up and are emptied, as previously described with reference to the normal operation of the swing buffers. Again, a swing buffer which is partially full of data will not empty until it is totally filled and/or it knows that it is time to empty. The PICTURE_END control token is decoded in the Token Decoder portion of the Buffer Manager, and it forces the partially full swing buffer to empty itself into the coded data buffer. This is ultimately passed to the Huffman Decoder and Video Demultiplexor either directly or through the DRAM interface.

19. FLU8HING OPERATION
Another advantage of the PICTURE_END control token is its function in connection with a FLUSH token. The FLUSH

token is not associated with either controlling the reconfiguration of the state machine or in providing data for the system. Rather, it completes prior partial signals for handling by the machine-dependent state machines. Each of the state machines recognizes a FLUSH control token as information not to be processed. Accordingly, the FLUSH
token is used to fill up all of the remaining empty parts of the coded data buffers and to allow a full set of information to be sent to the Huffman Decoder and Video Demultiplexor. In this way, the FLUSH token is like padding for buffers.
The Token Decoder in the Huffman circuit recognizes the FLUSH token and ignores the pseudo data that the FLUSH
token has forced into it. The Huffman Decoder then operates only on the data contents of the last picture buffer as it existed prior to the arrival of the PICTURE END token and FLUSH token. A further advantage of the use of the PICTURE END token alone or in combination with a FLUSH
token is the reconfiguration and/or reorganization of the Huffman Decoder circuit. With the arrival of the PICTURE END token, the Huffman Decoder circuit knows that it will have less information than normally expected to decode the last picture. The Huffman decode circuit finishes processing the information contained in the last picture, and outputs this information through the DRAM
interface into the Inverse Modeller. Upon the identification of the last picture, the Huffman Decoder goes into its cleanup mode and readjusts for the arrival of the next picture information.
20. FL~8H FUNCTION
The FLUSH token, in accordance with the present invention, is used to pass through the entire pipeline processor and to ensure that the buffers are emptied and that other circuits are reconfigured to await the arrival of new data. More specifically, the present invention comprises a combination of a PICTURE_END token, a padding word and a FLUSH token indicating to the serial pipeline processor that the picture processing for the current picture form is completed. Thereafter, the various state machines need reconfiguring to await the arrival of new data for new handling. Note also that the FLUSH Token acts as a special reset for the system. The FLUSH token resets each stage as it passes through, but-allows subsequent stages to continue processing. This prevents a loss of data. In other words, the FLUSH token is a variable reset, as opposed to, an absolute reset.

21. 8TOP-AFTER PICTURE
The STOP_AFTER_PICTURE function is employed to shut down the processing of the serial pipeline decompressing circuit at a logical point in its operation. At this point, a PICTURE_END token is generated indicating that data is finished coming in from the data input line, and the padding operation has been completed. The padding function fills partially empty DATA tokens. A FLUSH token is then generated which passes through the serial pipeline system and pushes all the information out of the registers and forces the registers back into their neutral stand-by condition. The STOP_AFTER_PICTURE event is then generated and no more input is accepted until either the user or the system clears this state. In other words, while a PICTURE_END token signals the end of a picture, the STOP_AFTER_PICTURE operation signals the end of all current processing.

22. ~ULTI-8T~U~'Pn - 8EaRc~ MODE
Another feature of the present invention is the use of a SEARCH_MODE control token which is used to reconfigure the input to the serial pipeline processor to look at the incoming bit stream. When the search mode is set, the Start Code Detector searches only for a specific start code or marker used in any one of the compression standards. It will be appreciated, however, that, other images from other data bitstreams can be used for this purpose. Accordingly, these images can be used throughout this present invention to change it to another embodiment which is capable of using the combination of control tokens, and DATA tokens along with the reconfiguration circuits, to provide similar processing.
The use of search mode in the present invention is convenient in many situations including 1) if a break in the data bit stream occurs; 2) when the user breaks the data bit stream by purposely changing channels, e.g., data arriving, by a cable carrying compressed digital video, or 3) by user activation of fast forward or reverse from a controllable data source such as an optical disc or video disc. In general, a search mode is convenient when the user interrupts the normal processing of the serial pipeline at a point where the machine does not expect such an interruption.
When any of the search modes are set, the Start Code Detector looks for incoming start images which are suitable for creating the machine independent tokens. All data coming into the Start Code Detector prior to the identification of standard-dependent start images is discarded as meaningless and the machine stands in an idling condition as it waits this information.
The Start Code Detector can assume any one of a number of configurations. For example, one of these configurations allows a search for a group of pictures or higher start codes. This pattern causes the Start Code Detector to discard all its input and look for the 21~515~

group start standard image. When such an image is identified, the Start Code Detector generates a GROUP START
token and the search mode is reset automatically.
It is important to note that a single circuit, the Huffman Decoder and Video Demultiplex circuit, is operating with a combination of input signals including the standard-independent set-up signals, as well as, the CODING STANDARD
signals. The CODING STANDARD signals are conveying information directly from the incoming bit stream as required by the Huffman Decoder and Video Demultiplex circuit. Nevertheless, while the functioning of the Huffman Decoder and Video Demultiplex circuit is under the operation of the standard independent sequence of signals.
This mode of operation has been selected because it is the most efficient and could have been designed wherein special control tokens are employed for conveying the standard-dependent input to the Huffman Decoder and Video Demultiplexer instead of conveying the actual signals themselves.

23. INVER8E MODELLER
Inverse modeling is a feature of all three standards, and is the same for all three standards. In general, DATA
tokens in the token buffer contain information about the values of the quantized coefficients, and about the number of zeros between the coefficients that are represented (a form of run length coding). The Inverse Modeller of the present invention has been adapted for use with tokens and simply expands the information about runs of zeros so that each DATA Token contains the requisite 64 values.
Thereafter, the values in the DATA Tokens are quantized coefficients which can be used by the Inverse Quantizer.

24. INVER8E QUANTIZER

2I 9~156 The Inverse Quantizer of the present invention is a required element in the decoding sequence, but has been implemented in such away to allow the entire IC set to handle multi-standard data. In addition, the Inverse Quantizer has been adapted for use with tokens. The Inverse Quantizer lies between the Inverse modeller and inverse DCT (IDCT).
For example, in the present invention, an adder in the Inverse Quantizer is used to add a constant to the pel decode number before the data moves on to the IDCT.
The IDCT uses the pel decode number, which will vary according to each standard used to encode the information.
In order for the information to be properly decoded, a value of 1024 is added to the decode number by the Inverse Quantizer before the data continues on to the IDCT.
Using adders, already present in the Inverse Quantizer, to standardize the data prior to it reaching the IDCT, eliminates the need for additional circuitry or software in the IC, for handling data compressed by the various standards. Other operations allowing for multi-standard operation are performed during a "post quantization function" and are discussed below.
The control tokens accompanying the data are decoded and the various standardization routines that need to be performed by the Inverse Quantizer are identified in detail below. These "post quantization" functions are all implemented to avoid duplicate circuitry and to allow the IC to handle multi-standard encoded data.

2 5 . ~U~ L~ DECODER AND PAR8ER
Referring again to Figures 11 and 27, the Spatial Decoder includes a Huffman Decoder for decoding the data that the various compression standards have Huffman-encoded. While each of the standards, JPEG, MPEG and ~1~51~6 H.261, require certain data to be Huffman encoded, the Huffman decoding required by each standard differs in some significant ways. In the Spatial Decoder of the present invention, rather than design and fabricate three separate Huffman decoders, one for each standard, the present invention saves valuable die space by identifying common aspects of each Huffman Decoder, and fabricating these common aspects only once. Moreover, a clever multi-part algorithm is used that makes common more aspects of each Huffman Decoder common to the other standards as well than would otherwise be the case.
In brief, the Huffman Decoder 321 works in conjunction with the other units shown in Figure 27. These other units are the Parser State Machine 322, the inshifter 323, the Index to Data unit 324, the ALU 325, and the Token Formatter 326. As described previously, connection between these blocks is governed by a two wire interface. A more detailed description of how these units function is subsequently described herein in greater detail, the focus here is on particular aspects of the Huffman Decoder, in accordance with the present invention, that support multi-standard operation.
The Parser State Machine of the present invention, is a programmable state machine that acts to coordinate the operation of the other blocks of the Video Parser. In response to data, the Parser State Machine controls the other system blocks by generating a control word which is passed to the other blocks, side by side with the data, upon which this control word acts. Passing the control word alongside the associated data is not only useful, it is essential, since these blocks are connected via a two-wire interface. In this way, both data and control arrive at the same time. The passing of the control word is indicated in Figure 27 by a control line 327 that runs 2I~51~G

beneath the data line 328 that connects the blocks. Among other things, this code word identifies the particular standard that is being decoded.
The Huffman decoder 321 also performs certain control functions. In particular, the Huffman Decoder 321 contains a state machine that can control certain functions of the Index to Data 324 and ALU 325. Control of these units by the Huffman Decoder i8 necessary for proper decoding of block-level information. Having the-Parser State Machine 322 make these decisions would take too much time.
An important aspect of the Huffman Decoder of the present invention, is the ability to invert the coded data bits as they are read into the Huffman Decoder. This is needed to decode H.261 style Huffman codes, since the particular type of Huffman code used by H.261 (and substantially by MPEG) has the opposite polarity then the codes used by JPEG. The use of an inverter, thereby, allows substantially the same table to be used by the Huffman Decoder for all three standards. Other aspects of how the Huffman Decoder implements all three standards are discussed in further detail in the "More Detailed Description of the Invention" section.
The Index to Data unit 324 performs the second part of the multi-part algorithm. This unit contains a look up table that provides the actual Huffman decoded data.
Entries in the table are organized based on the index numbers generated by the Huffman Decoder.
The ALU 325 implements the remaining parts of the multi-part algorithm. In particular, the ALU handles sign-extension. The ALU also includes a register file whichholds vector predictions and DC predictions, the use of which is described in the sections related to prediction filters. The ALU, further, includes counters that count through the structure of the picture being decoded by the Spatial Decoder. In particular, the dimensions of the picture are programmed into registers associated with the counters, which facilitates detection of "start of picture, n and start of macroblock codes.
In accordance with the present invention, the Token Formatter 326 (TF) assembles decoded data into DATA tokens that are then passed onto the remaining stages or blocks in the Spatial Decoder.
In the present invention, the in shifter 323 receives data from a FIFO that buffers the data passing through the Start Code Detector. The data received by the inshifter is generally of two types: DATA tokens, and start codes which the Start Code Detector has replaced with their respective tokens, as discussed further in the token section. Note that most of the data will be DATA tokens that require decoding.
The ln shifter 323 serially passes data to the Huffman Decoder 321. On the other hand, it passes control tokens in parallel. In the Huffman decoder, the Huffman encoded data is decoded in accordance with the first part of the multi-part algorithm. In particular, the particular Huffman code is identified, and then replaced with an index number.
The Huffman Decoder 321 also identifies certain data that requires special handling by the other blocks shown in Figure 27. This data includes end of block and escape. In the present invention, time is saved by detecting these in the Huffman Decoder 321, rather than in the Index to Data unit 324.
This index number is then passed to the Index to Data unit 324. In essence, the Index to Data unit is a look-up table. In accordance with one aspect of the algorithm, the look-up table is little more than the Huffman code table specified by JPEG. Generally, it is in the condensed data ~l~SlS6 format that JPEG specifies for transferring an alternate JPEG table.
From the Index to Data unit 324, the decoded index number or other data is passed, together with the accompanying control word, to the ALU 325, which performs the operations previously described.
From the ALU 325, the data and control word is passed to the Token Formatter 326 (TF). In the Token Formatter, the data is combined as needed with the control word to form tokens. The tokens are then conveyed to the next stages of the Spatial Decoder. Note that at this point, there are as many tokens as will be used by the system.

26. INVER8E DI8CRETE C08INE TRAN8FORM
The Inverse Discrete Cosine Transform (IDCT), in accordance with the present invention, decompresses data related to the frequency of the DC component of the picture. When a particular picture is being compressed, the frequency of the light in the picture is quantized, reducing the overall amount of information needed to be stored. The IDCT takes this quantized data and decompresses it back into frequency information.
The IDCT operates on a portion of the picture which is 8x8 pixels in size. The math which performed on this data is largely governed by the particular standard used to encode the data. However, in the present invention, significant use is made of common mathematical functions between the standards to avoid unnecessary duplication of circuitry.
Using a particular scaling order, the symmetry between the upper and lower portions of the algorithms is increased, thus common mathematical functions can be reused which eliminates the need for additional circuitry.

~ ` 2145156 The IDCT responds to a number of multi-standard tokens.
The first portion of the IDCT checks the entering data to ensure that the DATA tokens are of the correct size for processing. In fact, the token stream can be corrected in some situations if the error is not too large.

27. BUFFER MANAGER
The Buffer Manager of the present invention, receives incoming video information and supplies the address generators with information on the timing of the datas arrival, display and frame rate. Multiple buffers are used to allow changes in both the presentation and display rates. Presentation and display rates will typically vary in accordance with the data that was encoded and the monitor on which the information is being displayed. Data arrival rates will generally vary according to errors in encoding, decoding or the source material used to create the data. When information arrives at the Buffer Manager, it is decompressed. However, the data is in an order that is useful for the decompression circuits, but not for the particular display unit being used. When a block of data enters the Buffer Manager, the Buffer Manager supplies information to the address generator so that the block of data can be placed in the order that the display device can use. In doing this, the Buffer Manager takes into account the frame rate conversion necessary to adjust the incoming data blocks so they are presentable on the particular display device being used.
In the present invention, the Buffer Mnager primarily supplies information to the address generators.
Nevertheless, it is also required to interface with other elements of the system. For example, there is an interface with an input FIFO which transfers tokens to the Buffer Manager which, in turn, passes these tokens on to the wrlte ~1~5156 ~ `

addres5 generators.
The Buffer Manager also interfaces with the display address generators, receiving information on whether the display device is ready to display new data. The Buffer Manager also confirms that the display address generators have cleared information from a buffer for display.
The Buffer Manager of the present invention keeps track of whether a particular buffer is empty, full, ready for use or in use. It also keeps track of the presentation number associated with the particular data in each buffer.
In this way, the Buffer Manager determines the states of the buffers, in part, by making only one buffer at a time ready for display. Once a buffer is displayed, the buffer is in a "vacant" state. When the Buffer Manager receives a PICTURE START, FLUSH, valid or access token, it determines the status of each buffer and its readiness to accept new data. For example, the PICTURE START token causes the Buffer Manager to cycle through each buffer to find one which is capable of accepting the new data.
The Buffer Manager can also be configured to handle the multi-standard requirements dictated by the tokens it receives. For example, in the H.261 standard, data maybe skipped during display. If such a token arrives at the Buffer Mnager, the data to be skipped will be flushed from the buffer in which it is stored.
Thus, by managing the buffers, data can be effectively displayed according to the compression standard used to encode the data, the rate at which the data is decoded and the particular type of display device being used.

The foregoing description is believed to adequately describe the overall concepts, system implementation and operation of the various aspects of the invention in sufficient detail to enable one of ordinary skill in the art to make and practice the invention with all of its attendant features, objects and advantages.
However, in order to facilitate a further, more detailed in depth understanding of the invention, and additional details in connection with even more specific, commercial implementation of various embodiments of the invention, the following further description and explanation is pr~ferred.

,? /LI~-15~

This is a more detailed description for a multi-standard video decoder chip-set. It is divided into three main sections: A, B and C.
Again, for purposes of organization, clarity and convenience of explanation, this additional disclosure is set forth in the following sections.
Description of features common to chips in the chip-set:
Tokens Two wire interfaces DRAM interface Microprocessor interface Clocks Description of the Spatial Decoder chip Description of the Temporal Decoder chip SECIION A.l The first description section covers the majority of the electrical design issues associated with using the chip-set.
A.1.1 Typographic conv~ntions A small set of typographic conventions is used to emphasize some classes of information:
NA~E8_OF TO~N8 wire_name active high signal wire_name active low signal register_name SECTIOM A.2 Video Decoder ~amily 30 MHz operation Decodes MPEG, JPEG & H.261 Coded data rates to 25 Mb/s Video data rates to 21 MB/s MPEG resolutions up to 704 x 480, 30 Hz, 4:2:0 Flexible chroma sampling formats Full JPEG baseline decoding Glue-less page mode DRAM interface 208 pin PQFP package Independent coded data and decoder clocks Re-orders MPEG picture sequence The Video decoder family provides a low chip count solution for implementing high resolution digital video decoders. The chip-set is currently configurable to support three different video and picture coding systems:
JPEG, MPEG and H.261.
Full JPEG baseline picture decoding is supported.
720 x 480, 30 Hz, 4:2:2 JPEG encoded video can be decoded in real-time.
CIF (Common Interchange Format) and QCIF H.261 video can be decoded. Full feature MPEG video with formats up to 740 x 480, 30 Hz, 4:2:0 can be decoded.
Note: The above values are merely illustrative, by way of example and not necessarily by way of limitation, of one embodiment of the present invention. Accordingly, it will be appreciated that other values and/or ranges may be used.

A.2.1 System configurations A.2.1.1 Output formatting In each of the examples given below, some form of output formatter will be required to take the data presented at the output of the spatial Decoder or Temporal Decoder and re-for~a~- it for a computer or display system. The details of this formatting will vary between applications. In a simple case, all that is required is an address generator to take the block formatted data output by the decoder chip and write it into memory in a raster order.
The Image Formatter is a single chip VLSI device providing a wide range of output formatting functions.
A.2.1.2 JPEG still picture d-coding A single Spatial Decoder, with no-off-chip DRAM, can rapidly decode baseline JPEG images. The Spatial Decoder will support all features of baseline JPEG. However, the image size that can be decoded may be limited by the size of the output buffer provided by the user. The characteristics of the output formatter may limit the chroma sampling formats and color spaces that can be supported.
A.2.1.3 JPEG video decoding Adding off-chip DRAMs to the Spatial Decoder allows it to decode JPEG encoded video pictures in real-time. The size and speed of the required buffers will depend on the video and coded data rates. The Temporal Decoder is not required to decode JPEG encoded video. However, if a Temporal Decoder is present in a multi-standard decoder chip-set, it will merely pass the data through the Temporal Decoder without alteration or modification when the system is configured for JPEG operation.
A.2.1.4 H.261 decoding The Spatial Decoder and the Temporal Decoder are both required to implement an H.261 video decoder. The DRAM
interfaces on both devices are configurable to allow the quantity of DRAM required for proper operation to be reduced when working with small picture formats and at low coded data rates. Typically, a single 4Mb (e.g. 512k x 8) DRAM will be required by each of the Spatial Decoder and ~ ` 214~156 the T~imporal Decoder.
A.2.1.5 MPEG decoding The configuration required for MPEG operation is the same as for H.261. However, as will be appreciated by one of ordinary skill in the art, larger DRAM buffers may be required to support the larger picture formats possible with MPEG.

2I4~

SECTION A.3 Tokens A.3.1 To~n for~at In accordance with the present invention, tokens provide an extensible format for communicating information through the decoder chip-set. While in the present invention, each word of a Token is a minimum of 8 bits wide, one of ordinary skill in the art will appreciate that tokens can be of any width. Furthermore, a single Token can be spread over one or more words; this is accomplished using an extension bit in each word. The formats for the tokens are summarized in Table A.3.1.
The extension bit indicates whether a Token continues into another word. It is set to 1 in all words of a Token except the last one. If the first word of a Token has an extension bit of 0, this indicates that the Token is only one word long.
Each Token is identified by an Address Field that starts in bit 7 of the first word of the Token. The Address Field is of variable length and can potentially extend over multiple words (in the current chips no address is more than 8 bits long, however, one of ordinary skill in the art will again appreciate that addresses can be of any length).
Some interfaces transfer more than 8 bits of data. For example, the output of the Spatial Decoder is 9 bits wide (10 bits including the extension bit). The only Token that takes advantage of these extra bits is the DATA Token., The DATA Token can have as many bits as are necessary for carrying out processing at a particular place in the system. All other Tokens ignore the extra bits.

21~5156 . 160 A.3.2 The DATA Token The DATA Token carries data from one processing stage to the next. Consequently, the characteristics of this Token change as it passes through the decoder. Furthermore, the meaning of the data carried by the DATA Token varies depending on where the DATA Token is within the system, i.e., the data is position dependent. In this regard, the data may be either frequency domain or Pel domain data depending on where-the DATA Token is within the Spatial Decoder. For example, at the input of the Spatial Decoder, DATA Tokens carry bit serial coded video data packed into 8 bit words. At this point, there is no limit to the length of each Token. In contrast, however, at the output of the Spatial Decoder each DATA Token carries exactly 64 words and each word is 9 bits wide.
A.3.3 Using Token formatted data In some applications, it may be necessary for the circuitry that connect directly to the input or output of the Decoder or chip set. In most cases it will be sufficient to collect DATA Tokens and to detect a few Tokens that provide synchronization information (such as PICTURE_START). In this regard, see subsequent sections A.16, "Connecting to the output of Spatial Decoder", and A.19, "Connecting to the output of the Temporal Decoder".
As discussed above, it is sufficient to observe activity on the extension bit to identify when each new Token starts. Again, the extension bit signals the last word of the current token. In addition, the Address field can be tested to identify the Token. Unwanted or unrecognized Tokens can be consumed (and discarded) without knowledge of their content. However, a recognized token causes an appropriate action to occur.

Fur~hermore, the data input to the Spatial Decoder can either be supplied as bytes of coded data, or in DATA
Tokens (see Section A.10, "Coded data input"). Supplying Tokens via the coded data port or via the microprocessor interface allows many of the features of the decoder chip set to be configured from the data stream. This provides an alternative to doing the configuration via the micro processor interface.

Z 6 _ 5 4 3 2 1 0 Token Nan~ Re~erence o o 1 OUANT_SCALE
c 1 o PREDICTION_MODE
O 1 1 ~rese-ved) o o MVD_FORWARDS
l o 1 MYD_BACKWARDS
o, o o 0 1 QUANT_TABLE
0 o o o o 1 DATA
o o o o COMPONENT_NAME
1 l o o o 1 DEFINE_SAMPLING
1 1 o o 1 o JPEG_TABLE_SELECT
o o 1 1 MPEG_TABLE_SELECT
o 1 o o TEMPORAL_REFERENCE
o 1 o 1 MPEG_DCH_TABLE
1 1 0 1 1 0 (r~ved) 1 1 0 1 1 1 (reserved) o O O O (reserv d) SAVE_STATE
0 O 0 1 (reserved) RESTORE_STATE
o o 1 o TIME_CODE
1 1 1 1 0 0 1 1 (reserved) o j o o o o o o o NULL
o 1 0 0 o O O 0 1 ~re5etved) ! o o 1 o trese~ed) O ' O O O O 0 1 1 (reselved) o o o 1 o o o o SEOUENCE_START
o o o 1 o o o 1 GROUP_START
o, o o 1 o o 1 o PICTURE_START
o o o 1 o o 1 1 SLICE_START
o o o 1 o 1 o o SEQUENCE_END
o o o 1 o 1 o 1 CODING_STANDARD
o o o 1 o 1 1 o PICTURE_END
o I o o 1 o 1 1 1 FLUSH
0, o o 1 1 o o o FIELD_INFO
Table A.3.1 Summary of Tol~ens 21451~6 7 6 ¦ S l 4 ~ 2 1 0 - Token Narne Re~erence o o o 1 1 0 0 1 MAX_C011~1P_ID
o o o 1 1 0 1 o EXTENSION_DATA
0 0 0 1 1 o 1 1 USER_DATA
o o o 1 1 1 0 o DHT_MARKER
o o 0 1 1 1 o 1 DQT_MARKER
O O 0 1 1 1 1 0 (resen~ed) DNL_MARKER
1 1 1 1 1 t--se-ved) Ml_MARKER
1 1 1 0 1 0 0 0 (reserved) o, o o 1 (reserved) 1 ! 1 1 0 1 0 1 0 (reserved) 0 1 0 1 1 (reseved) o 1 1 o o BIT_RATE
o 1 1 o 1 VBV_BUFFER_SIZE
1 o 1 1 1 o VBV_DELAY
o ~ PICTURE_TYPE
o o o o PICTURE_RATE
o o o 1 PEL_ASPECT
o o 1 o HORIZONTAL_SIZE
o o 1 1 VERTICAL_SIZE
o 1 o o BROKEN_CLOSED
o 1 o 1 CONSTRAINED
1 1 1 1 0 1 1 0 (reserved)spEcTRAL-LlMlT
1 1 1 1 o 1 1 1 DEFlNE_blAX_SAMPLlNG
1 1 1 1 1 0 0 0 (reserved) 1 1 1 1 1 0 0 1 (reserved) 1 1 1 1 1 0 1 0 (reserved) 1 1 1 1 1 0 1 1 (reserved) .1 1 1 1 1 1 o o HOReONTAL_M8S
1 1 1 1 1 1 o 1 VERTICAL_MBS
1 1 1 1 1 0 (reserved) 1 1 1 1 1 1 1 1 (reserved) Ta~le A. 3 .1 Summary of Token~ (contd) 21451~6 A.3.~ Description of To~s This section documents the Tokens which are implemented in the Spatial Decoder and the Temporal Decoder chips in accordance with the present invention; see Table A.3.2.

Note:
."r" signifies bits that are currently reserved and carry the value O
.unless indicated all integers are unsigned - 21~515~

J E 7 1 6 ~ 5 4 3 1 2 1 o ~escriDtion 1 1 1 j 1 o 1 1 o 3 BIT_RATE test into only r r r r r r b b Carries the MpEG bit rate pa-ameter R. Generated t~y~e Hurtman b b b b b b b b decoder when decoding an MPEG bi-si~ream. --_ O b b b b b b b b b - an 16 bit integer as defined by MPEG
l l l' l 1 o 1 0~ o EROKEN_CLOSED
O r r; r r r r c b Carries two MPEG nags bits:
c - closed_gop b broken_link ! I 1 o 1 o 1 CODING_STANDARD
o s s , s s s s s s s - an 9 brt integer indicating the current coding s!andard. The values currentty assigned are:
O - H.26 1 l 1 1 o o o o c c COMPONENT_NAME
O n ni n n n n n n Communicates the relationship bet~een a component ID and ~e co."p~,ncnt name. See also ...
c 2 bit component l~
n - 8 bit co",i~oncllt name o l o l CONSTRAINED
o r i, I C
c carnes the cons~ ned-parame:ers nag decoded trom an MpEG bitstream.

Table A.3.2 Tokens implemented in the spatial Decoder and Temporal Decoder (Sheet 1 of 9) -- 21~ ~15~

E 7 6 ! 5 4 3 2 1 0 - Descrip~ion o o j o o o 1 c c DATA
dd I d d d d d d Carries data through the decoder chip-se~.

O dd d d d d d d C a2bitinte9ercr~ or~2n~lD(see A.3 5 1 ). This field is no~ defined ~or Tokens that carry coded da~a (rather t.~an pixel ;. ",." "atio.~) 1 l 1 1 o 1 1 1 DEFINE_MAX_SAMPLING
1 r r i r r r r h h Max. Honzontal and Vertical sampling numbets., nese descnbe û r r r r r r v v ~he ma~imum number of blocks hcrizontally~ven;cally in any co",~or.e.,~ o~ a ll~crubl-ck. See A.3.5.2 h 2 bit horizontal sampling number.
v - 2 bit vertical sampling number.

o o o 1 c c DEFINE_SAMPLING
1 r r r r r r h h Horizontal and Vertical sampling numbers lor a particular colour O r r r r r r v v co"",on_.,t. See A.3.52 c 2 bit cc,ll~,on~Snt IC.
h - 2 bit horizon~al sampling numben v - 2 bit vertical sampling number.

o o o o 1 1 1 o o DHT MARKER
T'his Token intorms the Video Demux tha~ ~he DATA Token tnat ~ollows contains the s~aE1~ ' ~ ol a Hu~man :able descrit~ed using the JPEG ~define Huttman ta~le segment~ syntax. rhis ,cken is only valid when the coding standard is configwed as JPEG.

This Token is generated by the s~an code detec~or ~uring JP_G
decoding when a DHT marker has been enccuntered In the data stream.

Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 2 of 9) E 7 6 1 5 1 4 3 2 1, O - Description o o o I o ~ 1 1 1 o DNL_MARKER
This Token intorms the Video Demux ~hat the DATA Token that iollows contains the JPEG parame~er NL which specifies Ihe number of iines in a irame, This Token is generated by the start code deteclor during JP-G
decoding when a DNL marker has been encounlered in Ihe dat.a slream, o O O o 1 1 1 o 1 D~T_MARKER
This Token inlorms the Video Demux that the DATA Token thal ~ollows contains the sFe e 1c ~ ~ oi a quantisaaon lable descrit~ed using the JPEG 'define quantisabon table segment syntax. This Token is only valid when the coding standard is configured as JPEG, The Video Demux generates a ~UANT_TABLE To~en containing the new quantisaaon table in~ommabon, This Token is generated by the stan code de~ecto- dunng JPG
decoding when a DQT marker has t~een encountered in the Ca~,a stream, o o o o 1 1 1 1 1 DRI_MARKER
This Token inlorms the Video Demux that the DATA Token that iollows contains the JPEG parame~er Ri which specifies the number o~ minimum coding units between restan markers.

This Token is generated by the stan code de~ector dur:ng JP'5 decoding when a DRI marker has ~een encountered in the Cala stream, Table A.3.2 Tokens implemented in the spatial Decoder and Temporal Decoder (Sheet 3 of 9) - 2145:~5G

E 7' t 5 4 3 l, 2 1 0 ~ Description o o o 1 1 o 1 o EXTENSION_DATA JPEG
Ovvvvvvvv This Token informs the Vldeo Demux that the DATA Token thal followS contains extension dala. See A. 11.3, ~Conversion o~ stan codes to Tokens, and A.14.6, ReceMng User and Extension data, During JPEG operabon the B bit field ~ carries the JPEG marker value. This allows the class of extension data to be identified.

o o o o ~ 1 o 1 o EXTENSION_DATA MPEG
This Token infornts the Vldeo Demux that the DATA Token that follows contains extension data. See A.11.3, Conversion of start codes to Tokens, and A.14.6, ~Receiving User and Extension data, 1 o o o 1 1 o o o FIELD_INFO
o,,, t p f f ~
Carries illfu~ dtioln about the picture following to aid its display.
This tuncbon is not signalled by any existing coding standard.
t - if the picture is an interlaced frame this bit indicates i~ the upper held is first (t=0) or second.
p - if pictures are fields this indicates if the next picture is upper - (p.O) or lower in the frame.
f - a 3 bit number indicating position of the field in the 8 field PAL
sequence.
o o o o 1 o t 1 1 FLUSH
Used to indicate the end of the cunrent coded data and to pusn ~ne end of the data stream through the decoder.

o o o o 1 o o o 1 GROUP_START
Generated when the group o~ pictures start cede is ~ound wnen decoding MpEG or the ~rame marker is ~ound when decoCing JPEG.

Table A.3.2 Tokens imp~emented in the Spatial Decoder and Temporal Decoder (Sheet ~ of - ` 21~5156 E 7 6 5 4 3 2 1 0 - Descnption 1 1 1 1 1 1 1 o o HORIZONTAL_MBS
1 r r r h h h h h h - a 13 bit number integer indicabng the horizontal Width ot :he 0 h h h h h h h h picture in a~ (ot loct s.
1 1 1 1 1 0 0 1 o ~IORIZONTAL_SIZE
1 h h h h h h h h h - 16 bit number integer indicating the horizontal width o~ the 0 h h h h h h h h picture in pixets. This can be any integer value.
1 1 1 o o 1 o c c JPEG_TABLE_SELECT
0 r r r r r r t t Intorms the inverse quantiser which quantisation table to use on the specified colour co ~or c. IL
c 2 bit CGI~lpOi cnt lO (see A.3.5.1 t - 2 bit integer tabte number.

1 o o o 1 1 o o 1 MAX_COMP_ID
0 r r r r r r m m m - 2 bit integer indlcating the maximum value o~ component ID
~see A.3.5. 1 ) that will be used in the next plcture.
0 1 1 o 1 o 1 c c MPEG_DCH_TABLE
Configures which DC coe~ficient Huttman table should be used ~or colour co Iponenl cc.

c - 2 bit cr ~one. I tD (see A.3.5. 1 t - 2 bit integer tabte number.

0 1 1 0 0 1 1 d n MPEG_TABLE_SELECT
tnlorms the inverse quantiser whether to use the de~ault om~ser defined quantisation table ~or intra or non-intra in~ormation.

n O indicates intra inlc~ tion 1 non-intra.
d 0 indicates deiault table 1 user defined.

Table A.3.2 Tokens implemented in the spatial Decoder and Temporal Decoder (Sheet 5 of 9) - 21~5156 E 7 ~ 6 1 5 4 3 2 1 0 Oescripoon 1 1" o 1 d v v v v MVD_BACKWARDS
o V V V V V V V V
Carries one cu~,~., ~nl (either vertical or horizontal) ot the bacl;~a, ~s mobon vector.
d - O indicales x co",~7ne.,I, 1 the y cornponen~
v - 12 bit two's ,o~ ..l.J.7t number. The LSa proviCes haJf pixel resolution.

l l O O d v v v v MVD_FORWARDS
o V V V V V V V V
Carries one cr,-"pon-~nt ~either vertical or horizontal) of the forwards rnonon vector.
d-Oindicatesxco."~or,_.,t, 1 theycomponen~
v -12 bit twos CO17lp _.-~-1t number. The bS3 provicG~ h~t pixel resolution.

o o o o o o o o o NULL
Does nothing.
1 1 o o o 1 PEL_ASPECT
o r I r . r p p p p p - a 4 bit integer as defined by MPEG.
o o c o 1 o 1 1 o PICTURE_END
Inserted by the start code detector to indicate the enC ot the current .
picnJre.
o o o o PICTUFlE_RATE
o r r r . p p p p p a 4 bit integer as defined by MP'G.
1 o o o 1 o o 1 o PICTURE_START
O r r r r n n n n Indicates tt1e start ot a new picture.
n a 4 bit picnu-e index allocated to the picture t~y the s;ar. code detecto-Table A. t. 2 Tokens implemented in the Spatial Decoder and Temporal Decoder ~Sheet 6 of 9) 21451~6 E 7 6 5 '. ¦ 3 2 1 0 - Descriplion o 1 1 1 1 PICTURE_TYPE MPEG
O r r r r r r p p p a 2 bit integer indicating Ihe pic:ure coding tyFe ol the picture that follows:

O Intra 1 - Predicted 2 - Bidirectionally Predicted 3 DC Intra o 1 1 1 1 PICTURE_TYPE H.261 r r r r r r 0 Indicates various H.261 opoons are on (1) or oll ~O). These opbons O r r s d I q are always ofl lor MPEG and JPEG:
s - Split Screen Indicator d - Document Camera f - Freeze Picture Release Source picture lormat:
q = O - OCIF
q= 1 CIF
O O 1 O h y x b ~ PREDICTION_MODE
A set o~ nag bits that indicate the prediction mode lor the oblo~J~ that lollow 1- lorward predicOon b - back~ard prediction x reset lorward vector predictor y reset backward vector predictor h - enable H.261 loop filter O 0 0 1 s s s s s ~UANT_SCALE
Inlorrns the inverse quantiser ol a new scale lactor s 5 bit integer in range 1 ... 31. The value O is reserved.
Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 7 of 21451~6 _ E 7 6 ! s 4 3 2 ~lo DescriDtion o 1 ~ QUANT_TA3LE
1 q q q q q q q q Loads the speaned inverse quantiser table with 64 8 bit unslgned integers. rhe values are in zig-zag order.
O q q q q q q q q t - 2 bit integer speci~ying the inverse cuantiser table o be loaded.
0 0 0 o 1 o 1 o o SEQUENCE_END
The MPEG sequence_end_code and the JPEG cOI marker cause this Token to be generated.
o o o o 1 o o o o SEQUENCE_START
Generated by the MPEG sequence_stan start code.
1 o o o 1 o o 1 1 SLICE_START
0 5 s 5 5 s s s s Co ..;j~r~ to the MPEG slice_s-~an the H.261 GOB arC the JPEG resync interval. The interpretation ot 8 bit integer s ci~ers between coding standards:
MPEG - Slice Vertical Position 1.
H.261 Group ot 810cks Number 1.
JPEG - res~ch~on.~Don interval identification (4 LS9s only).
1 1 1 o 1 o o t t TEMPORAL_REFERENCE
o t t t t t t t t t - carries the temporal relerence. For MPEG this is a 10 bit integec For H.261 only the 5 LSBs are used. the MSBs will always be zero.
1 1 1 1 0 0 1 0 d TIME_CODE
r r r h h h h h The MPEG time_code:
1 r r m m m m m m d - Drop Irame nag r r S 5 S 5 S S
O h - 5 bit integer specifying hours m - 6 bit integer speci~ying minutes s - 6 bit integer speci~ying seconds p - 6 bit integer speci~ying pictures Table A.3.2 Tokens imp~emented in the Spatial Decoder and Temporal Decoder (Sheet 8 of - 2 1 ~

E 7, 6 I S i 4 1 3 1 2 1 ~ O Description 0 ! o I 0 1 1 1 ~ o ~ 1 USER_DATA JPEG
This Token inlorrns Lhe \Jideo Cemux that the DATA loken ~a~
follows contains user data. See A. 11.3, Converslon of sta,n c^des to Tokens-, and A.14.6. 'Rece!ving ~'ser and Extension data', During 3PEG operation the a bit field ~ carr;es the ~PEG .~arker value. This allows the ciass of user cata to be ICenti'ied.

o o ~ o, o 1 1 o 1 1, 1 USER_DATA MPEG
This Token in~orrns the Video Demux that the DATA loken that follows contains user data. See A. 11.3, 'Conversion of stan coCes to Tokens', and A. 14.6. 'Recelving l,lser and Extension data-, 1 1 1 1 o 1 1 o 1 VBV_BUFFER_SIZE
1 r r r r r r s s s a l O bit integer as defined by MPEG.
s! s s s s s s s o 1 1 1 o VBY_DELAY
b, b b b b b b b b - a 16 bit integer as defined by MPEG.
G b b b b b b b b 1 1, 1 1 j 1 1 1 o 1 VERTICAL_MBS
l r ` r r v v v v v v a 13 bit integer indicating the verticat size ot the pic:ure in o v, v v, v v v v v " ,~.., obloci~
0 ! 1 ~ 1 VERTICAL_SIZE
v ~ v I v ~ V v V, v I v v - a 16 bit integer indicatlng the venical size of the plc~Jre n pl~e s.
o v v! v v vl v, v~ v il ¦ This can be any integer va~ue~

Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 9 of 9) - ~14~1S~

A.3.5 ~u~bers ~gnalle~ i~ To~ens A.3.5.1 Co~ponent Identific~tion nunb~r In accordance with the present invention, the Component ID number is a 2 bit integer specifying a color component.
This 2 bit field is typically located as part of the Header in the DATA Token. With MPEG and H.261 the relationship is set forth in Table A.3.3.

Component ID - MPEG or H.261 colow co."j~nont O Luminance (Y) ~lue dinorence signal (Cb / U) 2 Red Citterence signal (Cr / V) 3 Never used T~bl- A.3.3 Compon-nt ID for MPEG and H.2C~

With JPEG the situation is more complex as JPEG does not limit the color components that can be used. The decoder chips permit up to 4 different color components in each scan. The IDs are allocated sequentially as the specification of color components arrive at the decoder.
A.3.5.2 ~ori~ont~l ~nd V~rti¢al ~pling numbers For each of the 4 color components, there is a specification for the number of blocks arranged horizontally and vertically in a macroblock. This specification comprises a two bit integer which is one less than the number of blocks.
For example, in MPEG (or H.261) with 4:2:0 chroma sampling (Figure 36) and component IDs allocated as per Table A.3.4.

Honzontal Vertical Componenl IDsamplingWidth in blocks sampling Height in ~locks numbe~ numbet o 1 0 3 No~ usedNot used Not usedNot used Tabl- A.3.~ 8ampling numb-r~ for ~:2:0/MPEG

With JPEG and 4:2:2 chroma sampling (allocation of component to component ID will vary between applications.
See A.3.5.1. Note: JPEG requires a 2:1:1 structure for its macroblocks when processing 4:2:2 data. See Table A.3.5.

Hon~on~al Vert cal Compcnen~ 10 samplingWid~ in Olocks sampling ~e!g~l 'n Oloc.~5 numOer numOer - v o 1 1 o Table A.3.S ~a~pl~ng numb~r~ for 4: 2: 2 JP~G

214~1 56 A. 3 . 6 9pecial Token formats In accordance with the present invention, tokens such as the DATA Token and the QUANT_TABLE Token are used in their "extended form" within the decoder chip-set. In the extended form the Token includes some data. In the case of DATA Tokens, they can contain coded data or pixel data. In the case of QUANT_TABLE tokens, they contain quantizer table information.
Furthermore, "non-extended form" of these Tokens is defined in the present invention as "empty". This Token format provides a place in the Token stream that can be subsequently filled by an extended version of the same Token. This format is mainly applicable to encoders and, therefore, it is not documented further here.

Token Narne MPEG JPEG H261 BIT_RATE
BROKEN CLOSED
CODING_STANDARD
COMPONENT_NAME
CONSTRAINED
DATA
DEFINE_MAX_SAMPLING
DFFINE_SAMPLING
DtlT_MARKER
DNL_MARKER
DQT_MARKER
DRI_MARKER

Table A.3.6 tokens for different standards `- 214~156 Token Name MP~G JP~G H261 EXTENSION_DATA
FIELD_INFO
FLUSH
GROUP_START
HORIZONTAL_MBS
HORIZONTAL_SIZE
JPEG_TABLE_SELECT
MAX_COMP_ID
MPEG_DCH_TABLE -MPEG_TABLE_SELECT
MVD_BACKWARDS
MVD_FORWARDS
NULL
PEL_ASPECT
PICTURE_END
PICTURE_RATE
PICTURE_START
PICTURE_TYPE
PREDICTION_MODE
OUANT_SCALE
OUANT_TABLE
SEOUENCE_END
SEQUENCE_START
SLICE_START
TEMPORAL_REFERENCE
TIME_CODE
USER_DATA
VBV_BUFFER_SIZE
VEIV_DELAY
VERTICAL_MBS
VERTICAL_SIZE
Table A.3.~ Tokens for dir~rent standards (contd) 21~515~

A.3.7 Use of Tokens for different standards Each standard uses a different sub-set of the defined Tokens in accordance with the present invention; ss Table A.3.6.

_,, 21~5156 SECTION A.4 The two wire interface A.4.~ Two-wire interf~c~s ~nd the To~e,~ Port A simple two-wire valid/accept protocol is used at all levels in the chip-set to control the flow of information.
Data is only transferred between blocks when both the sender and receiver are observed to be ready when the clock rises .
l)Data transfer 2)Receiver not ready lo 3)Sender not ready If the sender is not ready (as in 3 Sender not ready above) the input of the receiver must, wait. If the receiver is not ready ~as in 2 Receiver not ready above)' the sender will continue to present the same data on its output until it is accepted by the receiver.
When Token information is transferred between blocks the two-wire interface between the blocks is referred to as a Token Po~t.
A.4.2 ~here u~d The decoder chip-set, in accordance with the present invention, uses two-wire interfaces to connect the three chips. In addition, the coded data input to the Spatial Decoder is also a two-wire interface.
~.4.3 BuS ~ig~15 The width of the data word transferred by the two-wire interface varies depending upon the needs of the interface concerned (See Figure 35, "Tokens on interfaces wider than 8 bits". For example, 12 bit coefficients are input to the Inverse Discrete Cosine Transform (IDCT), but only 9 bits are output.

- ` 21~5156 Interface Da;a Width (bits) Coce~ da~a input to Spaoal Decoder a Ousput port ol Spabal Oecoder g Input Dort ol T emporal Oecoder 9 Output Don o~ TemDoral Oecoder 8 Input port ol Image Fo~naner 8 Table A.4.1 Two wire interface data width In addition to the data signals there are three other signals transmitted via the two-wire interface:
.valid .accept .extension A.4.3.1 The extension signal The extension signal corresponds to the Token extension bit previously described.
A.4.4 Design considerations The two wire interface is intended for short range, point to point communication between chips.
The decoder chips should be placed adjacent to each other, so as to minimize the length of the PCB tracks between chips. Where possible, track lengths should be kept below 25 mm. The PCB track capacitance should be kept to a ~inimum.

The clock distribution should be designed to minimize the clock slew between chips. If there is any clock slew, it should be arranged so that "receiving chips" see the clock before "sending chips".l All chips communicating via two wire interfaces should operate from the same digital power supply.
A.4.S Iuterf-ce ti~ing 30 MHz Note' ,~Jum. Charc.,tc, lal~ Unit Min. Ma~
InDUt signal set-up ame S ns 2 Input signal hold time O ns Output signal dtive ome 23 ns OUtDut signal hold ~me 2 ns Tabl~ a. ~ . 2 T~o ~ir~ interfaco timing a. Figures in Table A.4.2 may vary in accordance with design variations b. Maximum signal loading is approximately 20 pF

' Note: Figure 38 shows the two-wire interface between the system de-mux chip and the coded data port of the Spatial Decoder operating from the main decoder clock. This is optional as this two wire interface can work from the coded data clock which can be asynchronous to the decoder clock.
See Section A.10.5, "Coded data clock". Similarly the display interface of the Image Formatter can operate from a clock that is asynchronous to the main decoder clock.

- ` 214~156 A.4.6 S~gnal levels The two-wire interface uses CMOS inputs and output.
V~Hm,n is approx. 70~ of Vl", and V,lm~x is approx. 30% of VDD.
The values shown in Table A.4.3 are those for VIH and V,~ at their respective worst case VDI). Vl)l)=5 . 0+0. 25V.

Sym~ol Parameter Min. Max. I Unl~s V,,~ Input loglc 'l' voltase3.68 V30 t 0 5 ~ V
V,~ lnDut losic '0' vol~ageGN0 C.S 1.43 V
VO~ Output loglc '1' vol~aseV30 0 V0O 0.4 I V
V0~ Ou;put loglc ~0' voltase 01 I V ' 0.4 v~
Input leaKage curren~ :1 0 ;.LA

Table A.4.3 DC electrical characteristics a. 10H< lmA
b. 10H' 4mA
c. l",<lmA
d. ~ <4mA

_ ` 214~15$

A.4.7 Cgntrol clock In general, the clock controlling the transfers across the two wire interface is the chip's decoder_clock. The exception is the coded data port input to the Spatial Decoder. This is controlled by coded_clock. The clock signals are further described herein.

- 21gtS15~

SECT10~ A.5 DRAM Interface A.S.1 The DRAM interface A single high performance, configurable, DRAM interface is used on each of the video decoder chips. In general, the DRAM interface on each chip is substantially the same;
however, the interfaces differ from one another in how they handle channel priorities. The interface is designed to directly drive the DRAM used by each of the decoder chips.
Typically, no external logic, buffers or components will be necessary to connect the DRAM interface to the DRAMs in most systems.
A.5.2 Interface signals Input /
Signal Name Descnp~ion Output DRAM_data(31:01 I/O The 32 bit wlde DRAM Cata buS. Optlonally Ihis bus can be configured to be 16 or 8 bits wlde. See secDon A.5.8 DRAM_addr~1 0:ûl The 22 bit wide DRAM intertace address IS tlme mulfiplexed over ~his 11 bit wice bus.
O The DRAM Row AdCress Strobe signal CASI3:û] 0 The DRAM Column Address St-obe slç~nal. One signal is provided per byts ot the interface's data bus. All the CAS signals are driven simultaneou51y WE O The DRAM Write Enab~esignal O The DRAM Output Enable 5ignal DRAM_enable I This input signal, when low, rr.akes all the output signals on the interface go high impe~arce.
Note: on-chip data p,u~,e~,ng is not s;opped wnen the DRAM intertace is high impedance. So. errcrs will occur if the chip attempts to access CRAM wrile DRAM enable is low Table A.5.1 DRAM intettace signals _ ` 2145156 In ~c~rdance with the present invention, the interface is configurable in two ways:
.The detail timing of the interface can be configured to accommodate a variety of different DRAM types .The "width" of the DRAM interface can be configured to provide a cost/performance trade-off in different applications.
A.5.3 Configuring the DRAM interface Generally, there are three groups of registers associated with the DRAM interface: interface timing configuration registers, interface bus configuration registers and refresh configuration registers. The refresh configuration registers (registers in Table A.5.4) should be configured last.
A.5.3.1 Conditions after reset After reset, the DRAM interface, in accordance with the present invention, starts operation with a set of default tlming parameters (that correspond to the slowest mode of operation). Inltially, the DRAM interface will continually execute refresh cycles (excluding all other transfers).
This will continue until a value is written into refresh_interval. The DRAM interface will then be able to perform other types of transfer between refresh cycles.
A.5.3.2 Bus configuration Bus configuration (registers in Table A.5.3) should only be done when no data transfers are being attempted by the interface. The interface is placed in this condition immediately after reset, and before a value is written into 3G refresh_interval. The interface can be re-configured later, if required, only when no transfers are being attempted. See the Temporal Decoder chip_access register (A.1~.3.1) and the Spatial Decoder buffer manager_access register (A.13.1.1).

I ` 214SlS6 A.5.3.3 ~nterface timing configuration In accordance with the present invention, modifications to the interface timing configuration information are controlled by the interface_timing_access register.
Writing 1 to this register allows the interface timing registers (in Table A.5.2) to be modified. While interface_timing_access = 1, the DRAM interface continues operation with its previous configuration. After writing 1, the user should wait until 1 can be read back from the interface_timing_access before writing to any of the interface timing registers.
When configuration is compete, 0 should be written to the interface_timing_access. The new configuration will then be transferred to the DRAM interface.
A.5.3.4 Refresh configuration The refresh interval of the DRAM interface of the present invention can only be configured once following reset. Until refresh_interval is configured, the interface continually executes refresh cycles. This prevents any other data transfers. Data transfers can start after a value is written to refresh_interval.
As is well known in the art, DRAMs typically require a "pause" of between 100 ~s and 500 ~s after power is first applied, followed by a number of refresh cycles before normal operation is possible. Accordingly, these DRAM
start-up requirements should be satisfied before writing a value to refresh interval.
A.5.3.5 Read access to configuration registers All the DRAM interface registers of the present invention can be read at any time.
A.5.4 Interface timing (ticks) 214~156 The ~R~M interface timing is derived from a Clock which is running at four times the input Clock rate of the device (decoder_clock). This clock is generated by an on-chip PLL.
For brevity, periods of this high speed clock are referred to as ticks.

.

A.5.5 Int-rf~ce register~

Register name O Q OesC-lptiOn r~
interface_timing_access 1 0 This lunc~lon enable regls;er allows access to bit :he DRA.~l interface l~mlng ccnfigura~,on registers. The configutabon reglsters should nc~
rw ~e mcdified while this register holCsthe value û. Writing a one to this register recuess access to modify the configurabon regis:ers. Af er a O
has been wnnen to this register the CRA,U
interface will start to use the new vaJues in tt e timing configuration registers.
page_sun_length 5 0 Specifies the lengtn ot the access start ,n blC~5.
bit The minimum value that can be used is 4 (meaning 4 bcks). O selects the maximurn rw length of 32 ticks.
transfer_cycle_length 4 0 Specifies the length of the last page read or bit write cycle in ticks. The minimum value that can be used is 4 (meaning 4 ticks). O selects ,he rw maximum length of 16 ticks.
re~r-sh_cycle_length 4 0 Specifies the length o~ the refresh cycle in ticks.
bit The minimum value that can be Lsed is 4 ~meaning 4 acks). O selecs the max:mum ~w length of 16 ticks.
RAS filling 4 Specifies the number ol ticks after ,~,e s;art ol bit the access start bhat ~AS falls. The rninirnum value that can be used is 4 (meaning 4 ticks). 8 rw selects he maximum length o~ 16 tC!'5.
CAS ~alling 4 8 Specifies the num~er o~ ticks after ;he s;art o~ a bit read cycle write cycle or access sUrt that C~S
, . I
lalls. The minimum value that can ~ used is 1 rw (meaning 1 bck). O selecs ;he maximum lenc~
Of 16 ticks.
Table A.5.2 Intertace timing COntiguration registers Register name ~ D ~ tio DRAM_data_width 2 0 Speclfies the numDet of bits used on the CRAM
bit inter~ace data bus DRAM_data( ~1:0]. See A.5.8 rw row_address_bits 2 0 Speclfies the number ot bits used tor the row bit address portion ol the DRAM intetlace aCCress bus. See A.5.10 rw DRAM_enable 1 1 Wriong the value 0 in to this register lorces :he bit DRAM intertace into a high ~ ed~ce state.
0 will be read Irom this register il either he rw DRAM_enabl- signal is low or 0 has been written to the register.
CAS_strengtt 3 6 rh~se thre- bit registers confiqure the output RAS_strength bit drive strength ol DRAM interlace signals.
addr strength This allows the interlace to be configured ~or ORAM_data_strength rw various di~lerent loads.
OEWF_suengttl See A.5 13 Table A.5.3 Interface bu~ configuration register~

21451~6 A.5.6 Interface operation The DRAM interface uses fast page mode. Three different types of access are supported:
.Read .Write .Refresh Each read or write access transfers a burst of 1 to 64 bytes to a single DRAM page address. Read and write transfers are not mixed within a single access and each successive access is treated as a random access to a new DRAM page.

Re9isbr name ~ , ~ Description re~resh_interval 8 0 ThiS value specifies the interval be~veen bit re~re5h cycles in periods of 16 decoder_clock cycles. Values in the ranse 1..255 can be rw conngured. The value O is automat;oal y loaCed a~ter reset and torces the DRAM in~ aceto cononuously execute re~resh cycles unt~l a valid refresh in~ervai is configured. It is ~o"""~"dad that retresh_interval shculd be configured on~ once a~ter each re5~t no_retresh 1 0 Wriang the value l to this register prevents bit execubon ol any re~re5h cycles.

r~,v Table A.5.4 Refresh configuration registers -- ~1451~6 A.5.7 .Acces~ structure Each access is composed of two parts:
.Access start .Data transfer In the present invention, each access begins with an access start and is followed by one or more data transfer cycles. In addition, there is a read, write and refresh variant of both the access start and the data transfer cycle.
~pon completion of the last data transfer for a particular access, the interface enters its default state (see A.5.7.3) and remains in this state until a new access is ready to begin. If a new access is ready to 2 l 4 ~

begin when the last access has finished, then the new access will begin immediately.
A.5.7.1 Access ~tart The access start provides the page address for the read or write transfers and establishes some initial signal conditions. In accordance with the present invention, there are three different access starts:
.Start of read .Start of write .Start of refresh Num. Chd l~ Min.Max. Unit Notes RAS precharge period set Dy register 4 16 tick RAS_talling 6 Access stan duraOon set by register 4 32 page_start_length CAS precharge length set by register 1 16 CAS_~alllng .
8 Fast page read or write cycle length set by 4 16 the register trans~er_cycle_l~ngth.
9 Fle~resh cycle length set by the register 4 16 ~e~esh_cycle.

Table A.5.5 DRAM Interface timing parameters a. This value must be less than RAS_falling to ensure ~ before RAS refresh occurs.

2i4~15~

In each case, the timing of RAS and the row address is controlled by the registers RAS falling and page_start length. The state of OE and DRAM_data[31:0] is held from the end of the previous data transfer until **RAS
falls. The three different access start types only vary in how they drive OE and DRAM data[31:0] when RAS falls. See Figure 43.
.5.7.2 D~ta tran~f~r In the present invention, there are different types of data transfer cycles:
.Fast page read cycle .Fast page late write cycle .Refresh cycle A start of refresh can only be followed by a single refresh cycle. A start of read (or write) can be followed by one or more fast page read (or write) cycles. At t~e start of the read cycle CAS is driven high and the new column address is driven.
Furthermore, an early write cycle is used. WE is driven low at the start of the first write transfer and remains low until the end of the last write transfer. The output data is driven with the address.
As a CAS before RAS refresh cycle is initiated by the start of refresh cycle, there is no interface signal activity during the refresh cycle. The purpose of the refresh cycle is to meet the minimum RAS low period required by the DRAM.
A.S.7.3 Interf~c~ d~fault st~t~
The interface signals in the present invention enter a default state at the end of an access:
RAS, CAS and WE high *data and OE remain in their previous state .addr remains stable .S.8 Dat~ buS ~idth ~ 2145156 The~-two bit register, DRAM_data_width, allows the width of the DRAM interface's data path to be configured. This allows the DRAM cost to be minimized when working with small picture formats.

ORAM_dat~_width 0' 8 bit wide data bus on DRAM_data~31 241~
16 bit wide data bus on DRAM_daU[31 1 61P) 2 32 bit wide data bus on DRAM_datat31 01 Table A.5.6 Configuring DRAM data width a. Default after reset.
b. Unused signals are held high impedance.

A.5.9 row address width The number of bits that are taken from the middle section of the 24 bit internal address in order to provide the row address is configured by the register, row_address bits.

row_address_Oits Widt~ o~ row address 10 Oits on DRAM_addr~9 0]
2 11 bits on DRAM_addr~10 0l Table A.5.7 Configuring row address bits A.5.10 A~dress bits On-chip, a 24 bit address is generated. How this address is used to form the row and column addresses depends on the width of the dàta bus and the number of bits selected for the row address. Some configurations do not permit all the internal address bits to be used and, therefore, produce "hidden bits)".
Similarly, the row address is extracted from the middle portion of the address. Accordingly, this maximizes the rate at which the DRAM is naturally refreshed.

rowrow address data buscolumn address translation addresstransla~on ~idthinlernal ~ external widthin~ernal :~ external 9114:6]0~8:0] 8 ~19:15]c~10:6] [5:01O[5:0 16 ~20:15] :~ [10 5] 15:11 ~ [4 01 32 (21:151 :~ [10:41 ~5:21 e~ (3:01 10[15:61 ~ [9:0l 8 [19:16] 0 [10:6] [5:0l " [5:0l 16 [20:16l~[10:sl [5:11O[4:0~
32 - [21 :16l :~ [10:41 [5:21 ~ [3-:0l 11[16:61"[10:01 8 [19:17]0[10:6l [S:OI:~
16 ~2U:17~"(10:5l ~5:11~[4:0 32 [21:17] ~ [10:41 [521 :~ [3:01 Table A.5.8 Mapping between internal and external addreQSeS

21q~156 A.5.lO~1 Low order column address bits The least significant 4 to 6 bits of the column address are used to provide addresses for fast page mode transfers of up to 64 bytes. The number of address bits required to control these transfers will depend on the width of the data bus (see A.5.8).
A.5.10.2 Decoding row addres~ to access more DRAM banks Where only a single bank of DRAM is used, the width of the row address used will depend on the type of DRAM used.
Applications that require more memory than can be typically provided by a single DRAM bank, can configure a wider row address and then decode some row address bits to select a single DRAM bank.
NOTE: The row address is extracted from the middle of the internal address. If some bits of the row address are decoded to select banks of DRAM, then all possible values of these "bank select bits" must select a bank of DRAM.
Otherwise, holes will be left in the address space.
A.5.11 DRAM Interface enable In the present invention, there are two ways to make all the output signals on the DRAM interface become high impedance, i.e., by setting the DRAM_enable register and the DRAM-enable signal. Both the register and the signal must be at a logic 1 in order for the drivers on the DRAM
interface to operate. If either is low then the interface is taken to high impedance.
Note: on-chip data processing is not terminated when the DRAM interface is at high impedance. Therefore, errors will occur if the chip attempts to access DRAM while the interface is at high impedance.
In accordance with the present invention, the ability to take the DRAM interface to high impedance is provided to allow other devices to test or use the DRAM controlled by the Spatial Decoder (or the Temporal Decoder) when the 21~5156 spatial- ~ecoder (or the Temporal Decoder) is not in use.
It is not intended to allow other devices to share the memory during normal operation.
A.5.12 Refresh Unless disabled by writing to the register, no refresh, the DRAM interface will automatically refresh the DRAM
using a~C~ before R~ refresh cycle at an interval determined by the register, refresh_interval.
The value in refresh interval specifies the interval between refresh cycles in periods of 16 decoder_clock cycles. Values in the range 1.255 can be configured. The value 0 is automatically loaded after reset and forces the DRAM interface to continuously execute refresh cycles (once enabled) until a valid refresh interval is configured. It is recommended that refresh_interval should be configured only once after each reset.
While reset is asserted, the DRAM interface is unable to refresh the DRAM. However, the reset time required by the decoder chips is sufficiently short, so that it should be possible to reset them and then to re-configure the DRAM
interface before the DRAM contents decay.
A.5.13 Signal strengths The drive strength of the outputs of the DRAM interface can be configured by the user using the 3 bit registers, CAS_strength, RAS_strength, addr_strength, DRAM_data_strength, and OEWE_strength. The MSB of this 3 bit value selects either a fast or slow edge rate. The two less significant bits configure the output for different load capacitances.
The default strength after reset is 6 and this configures the outputs to take approximately 10ns to drive a signal between GND and VDD if loaded with 24pF.

-- ` 21~5156 strensth value Orive cl ,a, c.. ~e. isl,.,s o Approx. 4 nsJV into 6 pt load Approx. 4 nsJV into 12 p~ load 2 Approx. 4 nsN into 24 p~ load 3 Approx. 4 nsJV into 4Ei pl load 4 Approx. 2 ns/V into 6 p~ had Approx. 2 ns1V into 12 p~ load 6- Approx. 2 n~V into 24 p~ load 7 Approx. 2 ns/V into 48 p~ load Table A.5.9 Output ~trength configuration~
a. Default after reset When an output is configured appropriately for the load it is driving, it will meet the AC electrical characteristics specified in Tables A.5.13 to A.5.16. When appropriately configured, each output is approximately matched to its load and, therefore, minimal overshoot will occur after a signal transition.
A.5.14 Electrical sp-cifications All information provided in this section is merely illustrative of one embodiment of the present invention and is included by example and not necessarily by way of limitation.

~ 21451~6 ZOO

Symbol Paramelet Min. Max. Units VDO SUPPIY vo~lage relative to GND -0.5 6.5 V
VIN Inputvoltaseon anypin GND-0.5 VOO I 0.5 V
T~ OperaDng temperature 40 185 C
T5 Storage ~em~erature 55 150 C
Table A.5.10 Maximum Ratingsa Table A.5.10 sets forth maximum ratings for the illustrative embodiment only. For this particular embodiment stresses below those listed in this table should be used to ensure reliability of operation.

Symt~ol Parameter Min. Max. Units VoO Supply voltage relatrve to GND 4.75 5.25 V
GND Ground 0 o v VIH Inputlogic 1 voltage 2.0 V00 t0,5 V
V~L Inputlogic 0 voltage GND-0.5 0.8 V
T~ Operating temperature 0 70 C' Table A.5.11 DC Operating conditions a. With TBA linear ft/min transverse airflow 21451~6 Symool PG ~ t ~ Min. Max. Units VOL Output logic ~0~ voltage 0.4 V
VOH OUtPUt logic '1' voltage 2.8 V
o Output current ~ 100 ~LA b loz Output On state leakage current ~ 20 ~A
llz Inputbahgecurrent ~10 ~LA
IDo RMS power supply curren~ 500 mA
CIN Input capd.i~nce 5 pF
Cour Output l lO cd~ nce S pF

Table A.5.12 DC Electrical characteristics a. AC parameters are specified using VO~max = 0.8V
as the measurement level.
b. This is the steady state drive capability of the interface.
Transient currents may be much greater.

214~156 A.5.1~ AC characteristics Num. Parameler Min.Max.Unit Note Cycle time 2 12 ns I l Cycle ffme -2 1 2 ns 12 High pulse 5 ~ 2 ns 13 Lowpulse -11 ~2 ns 1~ Cycie time -8 ~2 ns Table A.5.13 Differ-nces from nominal values for a strobe a. As will be appreciated by one of ordinary skill in the art, the driver strength of the signal must be 5configured appropriately for its load.

Num. Parameter Min.Max.Unit Note Strobe to strobe delay -3 ~ 3 ns 16 Low hold time -'3 3 ns 17 Strobe to strobe precharge e.g. tCRP, 9 ~3 ns tRCS, tRCH, tRRH, tRPC
precharge puise between any two -S 1 2 ns signats on wide DRAMs e.g. tCP, or between 1~ rising and ~ falling e.g.
tRPC
18 Pre?harge before disab~e -12 ~3 ns Table A.5.14 Differences from nominal values between two strobes a. The driver strength of the two signals must be configured appropriately for their loads.

v -214S1~6 Num. Parameter Min.Max. Unit Note' 19 Set up Ume -12 +3 rs Hold ome 12 +3 rs 2 I Address access time 12 +3 ns 22 Ne~t valid atter stroDe -12 +3 rs Table A.5.15 Differences from nomin~l between a bus and a strobe a. The driver strength of the bus and the strobe must be configured appropriately for their loads.

Num. Para".ete. Min.Max. Urit Note 23 Read data set up ome Delore CA!~ signal 0 rs stans ~o rise 24 Read data hold time a~ter CA signal 0 ns stans to go high Table A.5.16 Differences from nominal between a bus and a strobe When reading from DRAM, the DRAM interface samples DRAM_data[31:0] as the CAS signals rise.

214515~

parame~er parameter parame~er name number name number name numt~er IPC 10 tRSH16 tRHCP 18 tCPRH
tRC 11 tCSH tASR19 tRP 12 tRWL tASC
tCP tCWL tDS
tCPN tRAC tRAH20 IRAS 13 tOACltOE tCAH
tCAS tCHR IDH
CAC tCRP 17 tAR
IWP tRCS tAA 21 IRASP tRCH tRAL
IRASC tRRH IRAO22 tAcpncpA 14 tRPC
tRCD 15 tCP
tCSR tRPC

Table A.5.17 Cro~s-reference between "standard" DRAM
parameter name~ and timing parameter nUmberQ

SECTlO~ A.6 Microprocessor interface (MPI) A standard byte wide microprocessor interface (MPI) is used on all chips in the video decoder chip-set. However, one of ordinary skill in the art will appreciate that microprocessor interfaces of other widths may also be used.
- The MPI operates synchronously to various decoder chip clocks.
A.6.1 MPI signals Inpul /
Signal Name C~ ,~,lion Output enaole[1:01 Input Two active low chip enaOles. Bo~h musl ~e low to enaOle accesses via the MPI.
rw Input High indicates that a device wishes to t-ad values from ~he video chip.
- This signal should be staOle while the chip is enabled.
addr[n:O] Input Address specifies one ol 2" locabons in the chip s memory map.
This signal should ~e stable while the ctlip is enabled.
datal7:0] Output ~ bit wide data 1/0 pott. These pit~s art~ high i""~cJ~nce it either enable signal is hiçh.
~q Output An active low, open collector, interrupt reCuest signal.
Table A.6.1 MPI interface signals - 214~6 A.6.2 MPI electrical specifications Symbol P~.~, Min. Max. Units VDo Supply vdtage relat~ve to GND 0.5 6.5 V
VIN Input voltage on any pin GNO - 0.5 VOo + 0.5 V
TA Operanng temperanJre 10 , 85 C
Ts Storage temperature -55 +150 C

Table A.6.2 Absolute Maximum Ratings-Symbol Parameter Min. Max. Units V~O Supply voltage relative lo GNO 4.75 525 V
G~D Ground 0 o V
v,~ Input logic ~1' volttge 2.0 V00 + 0.5 V ' V~ Input logic '0' volttge GND ~ 0.5 0.8 V 1'~
T~ Operating~ ture 0 ~ CD

Table A.6.3 DC Operating conditions a. AC input parameters are measured at a 1.4V
measurement level.
b. With TBA linear ft/min transverse airflow.

~- 2145156 Symt~ol P ua,, _1~ Min Ma~o Units VOL OUtPUt logic 0 vollage 04 V
VOLX Open collector output logic 0 0 4 V~
voltage Vc~ Outputlogic 1 voltage 24 V
o Outputcurrent 1 100 ~AD
ox Open collector output current 4 0 8 0 rr~ ' loz Output On state leakage current ~ 20 ~LA
l"~ Input leakage cwrenl ~1 0 ~A
l^o RMS power supply current 500 mA
C!~ Input capa l.nc- S pF
Co~,r Output / IO ~it~e 8 pr Table A.6.4 DC Electrical characteristics a. lo<lo~
b. This is the steady state drive capability of the interface. Transient currents may be much greater.
c. When asserted the open collector irq output pulls down with an impedance of 1oon or less.

'- 21~51~6 A.6.2.i- AC characteristics No~es Num. Char_ eristi~. Min. Max. Uni~

Enable low penod lOO ns 26 EnaOlehishperiod 50 ns 27 Address or rw set-up to chip enable O ns 28 Atdress or rw hold ~rûm chip disaOle O ns ~9 Output turn-on time 20 ns Ftead data access timB 70 ns b 31 Read data hold tims 5 ns 32 Read Cata turn-o~t Sme 20 Table A.6.5 Microproces~or interface read timing a. The choice, in this example, of ena~le[0]
to start the cycle and enable[1] to end it is arbitrary. These signal are of equal status.
b. The access time is specified for a maximum load of 50 pF on each of the data[7.0].
Larger loads may increase the access time.

Num. Cn_. - isl~ Min. Max. Uni~ Notr s 33 Wntsdataset-uptime 15 ns 3.~ Writedatahold ~me O ns lo Table A.6.6 MicroproceQsor interface write timing a. The choice, in this example, of ena~le[0]
to start the cycle and ena~le~1] to end it is arbitrary. These signal are of equal status.

-- 21~51~6 A.6.3~Interrupts In accordance with the present invention, "event" is the term used to describe an on-chip condition that a user might want to observe. An event can indicate an error or it can be informative to the user's software.
There are two single bit registers associated with each interrupt or "event". These are the condi ti on even t register and the condition mask register.
A.6.3.1 condition event register The condition event register is a one bit read/write register whose value is set to one by a condition occurring within the circuit. The register is set to one even if the condition was merely transient and has now gone away. The register is then guaranteed to remain set to one until the user's software resets it (or the entire chip is reset).
The register is set to zero by writing the value one Writing zero to the register leaves the register unaltered.
The register must be set to zero by user software before another occurrence of this condition can be observed.
The register will be reset to zero on reset.
A.6.3.2 Condition ma~k register The condition mask register is one bit read/write register which enables the generation of an interrupt request if the corresponding condition event register(s) is(are) set. If the condition event is already set when 1 is written to the condition mask register, an interrupt request will be issued immediately.
The value 1 enables interrupts.
The register clears to zero on reset.
Unless stated otherwise a block will stop operation 21~51~

after-~enerating an interrupt request and will re-start operation after either the condition event or the condition mask register is cleared.
A.6.3.3 Event and mask bits Event bits and mask bits are always grouped into corresponding bit positions in consecutive bytes in the memory map (see Table A.9.6 and Table A.17.6). This allows interrupt service software to use the value read from the mask registers as a mask for the value in the event registers to identify which event generated the interrupt.
A.6.3.4 The chip event and mask Each chip has a single "global" event bit that summarizes the event activity on the chip. The chip event register presents the OR of all the on-chip events that have 1 in their mask bit.
A 1 in the chip mask bit allows the chip to generate interrupts. A 0 in the chip mask bit prevents any on-chip events from generating interrupt requests.
Writing 1 to 0 to the chip event has no effect. It will only clear when all the events (enabled by a 1 in their mask bit) have been cleared.
A.6.3.5 The irq signal The irq signal is asserted if both the chip event bit and the chip event mask are set.
The irq signal is an active low, "open collector" output which requires an off-chip pull-up resistor. When active the irq output is pulled down by an impedance of 100n or less.
I will be appreciated that pull-up resistor of approximately 4kn should be suitable for most applications.
A.6.4 Accessing registers A.6.4.1 Stopping circuits to enable access In the present invention, most registers can only modified if the block with which they are associated is stopped. Therefore, groups of registers will normally be associated with an access register.
The value 0 in an access register indicates that the group of registers associated with that access register should not be modified. Writing 1 to an access register requests that a block be stopped. However, the block may not stop immediately and block's aCcesC register will hold the value 0 until it is stopped.
Accordingly, user software should wait (after writing 1 to request access) until 1 is read from the access register. If the user writes a value to a configuration register while its access register is set to 0, the results are undefined.
~.6.4.2 ~giSter~ holding int~ger~
The least significant bit of any byte in the memory map is that associated with the signal data[0].
Registers that hold integers values greater than 8 bits are split over either 2 or 4 consecutive byte locations in the memory map. The byte ordering is "big endian" as shown in Figure 55. However, no assumptions are made about the order in which bytes are written into multi-byte registers.
Unused bits in the memory map will return a 0 when read except for unused bits in registers holding signed integers. In this case, the most significant bit of the register will be sign extended. For example, a 12 bit signed register will be sign extended to fill a 16 bit memory map location (two bytes). A 16 bit memory map location holding a 12 bit unsigned integer will return a 0 from its most significant bits.
.6.~.3 ~ey~ol~ a~dre~ loc~tion~
In the present invention, certain less frequently accessed memory map locations have been placed behind 214515~

"keyho~e~'l. A "keyhole" has two registers associated with it, a keyhol e address register and a keyhol e data register.
The keyhole address specifies a location within an extended address space. A read or a write operation to the keyhole data register accesses the location specified by the keyhole address register.
After accessing a keyhole data register the associated keyhole address register increments. Random access within the extended address space is only possible by writing a new value to the keyhole address register for each access.
A chip in accordance with the present invention, may have more than one "keyholed" memory map. There is no interaction between the different keyholes.
A.6.5 Special registers A.6.5.1 Unus-d registers Registers or bits described as "not used" are locations in the memory map that have not been used in the current implementation of the device. In general, the value 0 can be read from these locations. Writing 0 to these locations will have no effect.
As will be appreciated by one of ordinary skill in the art, in order to maintain compatibility with future variants of these products, it is recommended that the useris software should not depend upon values read from the unused locations. Similarly, when configuring the device, these locations should either be avoided or set to the value 0.
A.6.5.2 Reserved regiQters Similarly, registers or bits described as ~reserved" in the present invention have un-documented effects on the behavior of the device and should not be accessed.
A.6.5.3 Test registers Furthermore, registers or bits described as "test registers~ control various aspects of the device's testabili.ty. Therefore, these registers have no application in the normal use of the devices and need not be accessed by normal device configuration and control software.

214~156 SECTION A.7 Clocksi In accordance with the present inventions, many different clocks can be identified in the video decoder system. Examples of clocks are illustrated in Figure 56.
bs data passes between different clock regimes within the video decoder chip-set, it i8 resynchronized (on-chip) to each new clock. In the present invention, the maximum frequency of any input clock is 30 ~ . However, one of ordinary skill in the art will appreciate that other frequencies, including those greater than 30MHz, may also be used. On each chip, the microprocessor interface (MPI) operates asynchronously to the chip clockr3. In addition, the Image Formatter can generate a low frequency audio clock which is synchronous to the decoded video's picture rate. Accordingly, this clock can be used to provide audio/video synchronization.
.7.~ 8patial D~coder clock SignalS
The Spatial Decoder has two different (and potentially asynchronous) clock inputs:
Input /
Signal Name Descnption OutDut coded_clock Input rh~5 clocl~ consrols cata :rans~er in :o the coCec cata port ol the Spaoal Decoder.
Cn chip this dock conUols tne pruceSs ng of rhe coded data until it reaches the coCed data ~u~ter.
decoder_clock Input rhe CecoCer ciock controis the rnalonty ol l7e proce~ rlg lunctions on Ihe Spatlal Decocer.
rhe decoder cloc~r aiso contro~s the translet ol data out o~ the Spatial Decoder through its output port.
Tabl~ A.7.~ 8p~ti~1 Decod~r clocks3 '- ~14S156 A 7 2 ~Temporal Decoder clock sign~ls The Temporal Decoder has only one clock input Input /
Signal Name Oescription Output decoder_clock Input The decoder clock conUols all o~ Ihe processing ~unctions on the Temporal Decoder.
The deco~er clock also conUols ;ransler o~ data in ~o the Temporæ Decoder through its input pOrt and out via its output port.

Table A 7 2 Temporal Decoder clocks i A 7 3 Electrical specific~tions 30 MHz Num. Cha.act~t,,t~ Unlt Note Min. Ma~.
3S Clock period 33 ns 36 Clock high period 13 ns 37 Clock low period 13 ns Table A 7 3 Input cloc~ requirements '_ ~1451~6 Symbol Parameter Min. Ma~. Units V!H Inpul logic 1 vo/tage 3.6a VDD + 0 5 V
V~L Input log~c 'O' vollage GND - 0.5 1.43 V
10z Input leakage current ~10 ~LA

Table A.7.4 Clock input conditions A.7.3.1 CNOS levels The clock input signals are CMOS inputs. VIHm~ is approx. 70% of Vl)D and V~Lma~ is approx. 30% of VDD. The values shown in Table A.7.4 are those for VIH and VIL at their respective worst case VDD. VDD=5. +- 25V.
A.7.3.2 Stability of clocks In the present invention, clocks used to drive the DRAM
interface and the chip-to-chip interfaces are derived from the input clock signals. The timing specifications for these interfaces assume that the input clock timing is stable to within + 100 ps.

SECT~)~ A.8 JTAG
As circuit boards become more densely populated, it is increasingly difficult to verify the connections between components by traditional means, such as in-circuit testing using a bed-of-nails approach. In an attempt to resolve the access problem and standardize on a methodology, the Joint Test Action Group (JTAG) was formed. The work of this group culminated in the "Standard Test Access Port and Boundary Scan Architecture", now adopted by the IEEE as standard 1149.1. The Spatial Decoder and Temporal Decoder comply with this standard.
The standard utilizes a boundary scan chain which serially connects each digital signal pin on the device.
The test circuitry is transparent in normal operation, but in test mode the boundary scan chain allows test patterns to be shifted in, and applied to the pins of the device.
The resultant signals appearing on the circuit board at the inputs to the JTAG device, may be scanned out and checked by relatively simple test equipment. By this means, the inter-component connections can be tested, as can areas of logic on the circuit board.
All JTAG operations are performed via the Test Access Port (TAP), which consists of five pins. The trst (Test Reset) pin resets the JTAG circuitry, to ensure that the device doesn't power-up in test mode. The tck (Test Clock) pin is used to clock serial test patterns into the tdi (Test Data Input) pin, and out of the tdo (Test Data Output) pin. Lastly, the operational mode of the JTAG
circuitry is set by clocking the appropriate sequence of bits into the tms (Test Mode Select) pin.
The JTAG standard is extensible to provide for additional features at the discretion of the chip manufacturer. On the Spatial Decoder and Temporal Decoder, 214~

there are 9 user instructions, including three JTAG
mandatory instructions. The extra instructions allow a degree of internal device testing to be performed, and provide additional external test flexibility. For example, S all device outputs may be made to float by a simple JTAG
sequence.
For full details of the facilities available and instructions on how to use the JTAG port, refer to the following JTAG Applications Notes.
A.8.1 Conn~ction of JTAa pin- in non-JTAa ~y~t~mJ

Signal Oirectlon Descnp~ion trst Input ThiS pin has an intemai pull up, ~ut must ~e taken low at power up even i~ the JTAG features are not being used. This may be achieved t~y ccnnecong trst In common with the chip reset pin reset.
tdi Input Thes- pins have inte-nal pUll-uDs~ anc ~ay be len tms d;sconne ~ if the JTAG circui~y is not ~eing used.
tc~r Input This pin do-;s not have a pull-up. anc sncuid ~e ~leo to gtound i~ th- JTAG circuitry iS not used.
- tdo Output ~igh i",, C ~e except during JTAG scan op-~.ations. 1~ JTAG iS not O~eing used, ~iS pin may be lett dis:onne_ted.

T~le A.8.1 ~o~ to conn~ct JTAa input5 2I~5156 A.8.2 ~ev-l of Conformance to ~EEE 1149.1 A.8.2.1 Rule~
All rules are adhered to, although the following should be noted:

Rules C~s ~ io 3.1.1(b) The trst Din is provid d.
3.5.1(b) Guaranteed lor ~I public instruc0ons (see IEEE 1149,1 5.2.1(c)).
5.2.1 (c) Guaranteed lor all public instrucoons. For some private instructions, the TDO pin may be act~ve dwing any ol the slales CapturrtOR, Exil1-DR, Exil-2-DR ~ Pause-OR.
5.3.1 ~a) Power on-resel is achieved by us ol the tt5l pin.
6.2.1 (e.n A code lor the SYPASS instrucbon is loaded in the Test-Logic-Rese~ state.
7.1.1(d) Un-allocated instrucbon codes are equivalent to BYPASS.
7 2.1 (c~ There is no device lO register.

Table A.8.2 JTAG Rule~

21~51~6 ~ules De s ~vtn~n 7.8.1(b) Sing~e-slep operabon recuires external conUol o~ ~e system clock.
7.9,1 (.,,) There is no RUNBIST facility.
7,11,1(~) There is no IOCODE insUuction, 7.12.1(.--) There is no USERCODE instruc~ion.
8.1.1(b) There is no device ,~ent,rcat,on register.
8.2.1 (c) Guaranteed ior all pUDliC insUucbons, The ap~arent length o~
the path Irom tdi to tdo may change under certain circumstances while private instruction codes are loaded.
8.3.1 (d-i) Guaranteed ior all public instrucbons. Oata may Oe loaded atlimes other than on the rising edge of tck while private instrucbons codes are loaded.
10,4.1(e) During INTEST~ the system clock pin must be con~olled externally.
10.6.1 (c) During INTEST, output pins are controlled by daU shifted in via tdi.

Table A. 8 . 2 JTAG Rule~

A. 8 . 2 . 2 RecoDull-nd~tion~

Reco, . ", .anJdtio,~ D ',: 1 3.2.1(b) tck is a highi.,.veJdnce CMOS input.
3.3.1 (c) tms has a high ;" lp~dnce pull-up.
3.6.1(d) (Applies to use of chip).
3.7.1 (a) (Applies to use o~ chip).
6.1.1(e) The SAMPLE/PRELOAD instruc~ion code is loaCed during Capture-lR.
7.2.1 (f) The INTEST instruction is supported.
7.7.1(9) Zeros are loaded at system output pins dunng EXTEST.
7.7.2(h) All system outputs may be set high.;.,.v,JaJlce.
7.8.1(~ Zeros are loaded at system input pins dunng INTEST, 8.1.1 (d.e~ Design specific ~est data registers are not puOlicly ~ceccl~le Table A.8.3 Recommendations met ~ 214~1~6 R ~ ~ D~
10.4.1(() During EXTEST. ~ signal driven into the on-chp logic from the system clock pin is that supPlied e~temally.

Table A. 8 . 4 Recomlltendation~ not implemented A. 8 . 2 . 3 Permiasion~

Fe.n ~ s Description 3.2.1 (c~ Guuanteed lot all public instructions.
6.1.1 (f) The instnucDon register is not used to capture des:gn-speclfic ation.
7.2.1(9) Several atCilional public instructions are provided.
7.3.1 (a) Several prr~at instrucCon codes are allocated.
7.3.1 (c) (Rule?) Such instn Ictions codes are documenta.
7.4.1 (f) Additional codes p rform identicatly to EYPASS.
10.1.1 (i) Each outDut pin has its own 3-state control.
10.3.1 (h) A parallel latch is prowded.
10.3.1 (i j) During EXTEST input pins ar- controlled by data shined in via tdl.
10.6.1 (d.e) 3 state cells ue not lorced inactive in the Test-Looic-Reset state.

Table A. 8 . 5 Permi~sioll~ met SECT~ON A.9 Spatial Decoder 30 MHz operation Decodes MPEG, JPEG & H.261 Coded data rates to 25 Mb/s Video data rates to 21 MB/s Flexible chroma sampling formats Full JPEG baseline decoding Glue-less DRAM interface Single +5V supply 208 pin PQFP package Max. power dissipation 2.5W
Independent coded data and decoder clocks Uses standard paqe mode DRAM
The Spatial Decoder is a configurable VLSI decoder chip for use in a variety of JPEG, MPEG and H.261 picture and video decoding applications.
In a minimum configuration, with no off-chip DRAM, the Spatial Decoder is a single chip, high speed JPEG decoder.
Adding DRAM allows the Spatial Decoder to decode JPEG
encoded video pictures. 720x480, 30Hz, 4:2:2 "JPEG video"
can be decoded in real-time.
With the Temporal Decoder Temporal Decoder the Spatial Decoder can be used to decode H.261 and MPEG (as well as JPEG). 704x480, 30Hz, 4:2:0 MPEG video can be decoded.
Again, the above values are merely illustrative, by way of example and not necessarily by way of limitation, of typical values for one embodiment in accordance with the present invention. Accordingly, those of ordinary skill in the art will appreciate that other values and/or ranges may be used.

21~5156 A. 9 .1 Sp~tial Decoder Signals Signal Narne UO Pin Number ~e L
coded_clock I la2 Coded Data Po~ Used to supply coded_Cata(7:01 1 172. 1~1, 169, 168, 167,166, 164, coded data or Tokens ~o ~e Spa~ial 163 Gecodet.
coCed_e~tn I 174 See secbons A10.1 and coded_valid 1 162 coCeC_accept O 161 A.4.1 byte_moCe I 176 enat~le~1 :0l 1 126, 127 Micro Processor Interface (MP~).
rv~ 1 125 addr~6:01 1 136,135,133,132,131,130,128 data~7:01 o 152, 151, 149, 147, 145, 143, 141 See section A.6.

~q O 154 DRAM_data(31 :0l UO 15, 17, 19, 20, 22, 25, 27, 30, 31, ORAM Int-r~ace.
33, 35, 38, 39, 42, 44, 4~, 49, 57, 59,61,63,66,68,70,~2,~4,76, See sectbn AS2 79,81,83,84,85 DRAM_addr~10:0] O 184,186,188,189,192,193,195, 197, 199, 200, 203 CAS~3:0~ O 2, 4, 6, 8 DRAM_enable I 112 out_data~8:0] O 88, 89, 90, 92, 93, 94, 95, 97, 98 Output Port.
ou~_e~tn O 87 See secion A.4.1 out_valid O 99 out_accept 1 100 tck I 115 JTAG port :ci 1 116 See seClion A.8 tco O 120 tms I 117 trst 1 121 Table A.9.1 Spatial Decoder signals '--; 214~1~6 Signal Narnrt UO Pin Numoer Dexription d coder_clock 1 177 The main decoder clock. See secDon A.7 rff-t 1 160 Reset.
Table A.9.1 Spatial Decode- signals (contd) Signal Nan~ UO Pin Num. Oescription tphOish I 122 n ov-trid~ hen~phOishandIph1ishare tph1ish 1 128 inputs lor the on-chip two phase clock.
ovenide 1 110 For nomlal operabon set override = O.
tphOish and tph 1 ish are ignored (so connect tO GND Or VDD~
chiptest 1 111 Set chiptest = O lor normal operation.
tloop 1 114 Connect to GND Or VOO duing normal operabon.
ramtt 1 109 It ramtfft = 1 test of the on-chip RAMs is enabled.
Set ramtfft = O lor normal operation.
pllselect 1 178 It pllxhcl = O tne on-chip phase locked loops are disabkd.
Set pll#tect = 1 lor nomlal operauon.
ti 1 180 Two clocks required t~y the ORAM intertace tq 1 179 during test operation.

Connect to GND r VDD duing normal oDerabon.
pdout 207 These two pins are .unnl_t,~ss lor an pdin 1 206 external fitter lor the Dhase lock loop.
Table A.9.2 Spatiai Decoder Test signals ~ 2115156 Signal Nam , P~ Signal Name Pin Signal Name Pin Signal Name Pin nc 208 nc 156 nc 104 nc 52 test pln 207 nc lSS nc 103 nc 51 tes: "In 206 irq 154 nc 102 nc SO
GNO 205 nc 153 VDD 101 DRAM data~Sl 49 OE 204 data[71 152 out_accept 100 nc 48 ORAM-addr[ol 203 aata~q 151 out_valid 99 DRAM_da;all61 47 vOO 202 nc 150 out_datall 98 nc 46 nc 201 dataEsl 149 out_data~l l 97 GNO 45 DRAM_addr~lj 200 nc 1~8 GND 96 DRAM_da~a(17] 44 DRAM_addr~2] 199 data~41 147 out_data~2] 9S nc 43 GNO 198 GNO 146 out_data~31 94 DRAM_data~181 42 ORAM_addr(3¦ 197 data~31 145 out_data[4] 93 VOO 41 nc - 196 nc 144 out_data~SI 92 nc 40 ORAM_addr~4] l9S data~21 143 VDD 91 ORAM_datall91 39 vOO 194 nc 142 out_dau[61 90 ORAM_data~201 38 ORAM_addr~S] 193 datall] 141 out_datal71 89 nc 37 ORAM_addr(6] 192 data~01 140 out_daU~81 88 GNO 36 nc 191 nc 139 out_extn 87 ORAM_data~21] 35 GNo 19a VOO 138 GNO 86 nc 34 ORAM_addr~7] 189 nc 137 DRAM_daul0] as ORAM_da~a(22] 33 ORAM_ar~dr(81 1~8 addr161 136 DRAM_data[ll g4 VDO 32 VOO 187 addr151 135 DRAM_datal21 83 ORAM_data(231 31 ORAM_addr(9] 186 GND 134 VOO 82 ORAM_data[24] 30 nc 185 addr~41 133 ORAM_daU13l 81 nc 29 ORAM_addr(10] 184 addr~31 132 nc 80 GNO 28 GNO 183 addr(~21 131 DRAM_data~4] 79 DRAM-data~2s] 27 coded_clock 182 addrll¦ 130 GNO 7a nc 26 VOO 181 VDD 129 nc 77 DR~M_datal26] 25 tesl pin 180 addrtOI 128 ORAM_dau(Sj 76 nc 24 ~est pln 179 enaDle[01 127 nc 75 VOO 23 test pin 178 enaDIe(ll 126 DRAM_daU16] 74 ORAM_data[27] 22 decoder_elock 177 rw 125 VOD 73 nc 21 by-~e_mode176 GND 124 ORAM_dau(71 72 ORAM_daul2~1 20 GNO 175 ~est pin 123 ne 71 DRAM_data~29~ t9 coded_extn 174 test pin 122 DRAM_dau~8j 70 GND 1 g Table A.9.3 Spatial Decoder Pin Assignments 21~156 Signal Nam Pin Signal N~ Pin Signal Name P!n Signal Name Pin nc 208 nc 15~; nc 104 nc 52 It~st pin 207 nc 155 nc 103 nc 51 P~st pin 206 7~ 154 nc 102 nc 50 GNO 205 nc 153 V00 10~ DRAM_data(15] 49 OE 204 datat71 ~ 52 out_accep~ l 00 nc 48DRAM_addr[0] 203 data(61 151 out_valid 99 DRAM_daLa(16] 47 VDO 202 nc 150 out_data(0] 98 nc 46 nc 201 data~51 149 out_da~a[l] 97 GN0 45 ORAM_addr~1] 200 nc 148 GN0 96 ORAM_datat17] 44 ORAM_addr~2] 199 data~41 147 out_data~21 95 nc 43 GNO 198 GNO 146 out_data~31 94 OP~AM_data(181 42 DRAM_addr(3] 197 data(31 145 out_Cata(41 93 VDD 41 nc 196 nc 144 out_daU(;~ 92 nc 40 oRAM_addrt4] 195 datat2] 143 V0D 91 DRAM_dat t~19J 39 VDO 194 nc 142 ou~datat61 90 DRAM_data(20~ 38 DRAM_addr~5] 193 data[1] 141 out_data~71 89 nc 37 DRAM_addr(61 192 datal0] 140 out_daU(81 88 GND 36 nc 191 nc 139 ou~extn 87 DRAM_data(211 35 GND 190 VDO 138 GND 86 nc 3a DRAM_addr~71 189 nc 137 DRAM_data(01 85 DRAM_data(221 33 DRAM_addrt81 188 addrl61 136 DRAM_dau(11 84 VDD 32 VDD 187 ddrl5] 135 DRAM_data(21 83 DRAM_datat231 31 DR`AM_addr(91 186 GND 134 VDD 82 DRAM_data(2fl 30 nc 185 dd~41 133 ORAM_datat31 81 nc 29 DRAM_addr(101 184 addr[31 132 nc 80 GND 28 GND 183 addr[21 131 DRAM_datat41 79 DRAM_data(251 27coded_dt~ck 182 addr(11 130 GND 7a nc 26 VDD 181 VOD 129 nc 77 DRAM_datat261 25 test pin 180 addt[0~ 128 DRAM_datatSl 76 nc 24 test pin 179 enaDle101 127 nc 75 VDD 23 test pin 178 ena~le[13 126 DRAM_datat61 74 DRAM_datat271 22decrder_dock 177 rw 125 VDD 73 nc 21 byte_mode 176 GND 124 DRAM_data(71 72 DRAM_data(28] 20 GND 175 tcst pin 123 nc 71 DRAM_daut29] 19 crded_ex~n 174 lestpin 122 DRAM_~aUt81 70 GND
Tabb A.9.3 Spatial Decoder Pin Assignments , .

~ 2145156 Slgral Name Pin Signal Name Pin Signal Narne ?in Slgnal Narre Pin r.c 173 O~st 121 GNO 69 O~AM_atta(301 17 coce~-catal7i m tCo 120 OR~M_data(91 6~ nc 16 co~e~_data(6i 171 nc 119 ~c 67 CRA.~ data[31~ 15 vOO 170 VOO 118 ORAM_dau(101 6~5 V00 14 coded_data(5¦ 169 ~u 117 V00 6; nc 13 coded_Cata(41 168 tdi 116 rc 64 ~ 12 c~Cr~d_data(31 167 tcJ~ 115 OFIAM data(l11 63 ~ 11 coded_Cata(21 166 t stpin 11~, nc 62 nc 10 GN0 165 GN0 113 DR~M_data(121 61 GN0 9 coded_da;a(ll 164 ORAM_enabl 112 GNO 60 CAS;0l 3 coded_data[01 163 test pin 111 ORAM_data~131 59 nc 7 coded_val(d162 lest pin llO nc 58 ~(11 6 ~Ced_accepl161 testpin 109 DA~M data(l4] 57 V00 5 reSet 160 nc 108 VDO j6 ~;2i 4 vO0 159 nc 107 nc 55 nc 3 nc 158 nc 106 x 54 ~31 2 nc 157 nc 105 nc 53 nc Ta~le A.9.3 Spatial Decoder Pin Assignments (contd) A.9.1.1 "nc" no connect pins The pins labeled nc in Table A.g.3 are not currently used these pins should be left unconnected.
A.9.1.2 Vl~ and GND pins As will be appreciated by one of ordinary skill in the art, all the V"~, and GND pins provided should be connected to the appropriate power supply. Correct device operation ~_` 21~5156 cannot b~ ensured unless all the Vl)D and GND pins are correctly used.
A.9.1.3 Test pin connection~ for normal operation Nine pins on the Spatial Decoder are reserved for internal test use.

Pin nurnbct Connectlon Connecl lo GNO lo~ normal CDeraUon Connecl lo VOO IOr normal ooeration Leave Open CirCwl lor mommal ooeration Table A.9.4 Default test pin connections A.9.1.4 JTAG pins for normal operation See section A.8.1.

~_``; 2145156 A.9.2 Sp~tial Decoder memory map Addr. (hex) Req~ist Name See table OxoO .. Ox03 Intr~rupt service area A.9.6 -Ox04 .. Ox07 Input circut regtsters A.9.7 Ox08 .. OxOf Start coJe delector registers OxlO... Ox15 eunerstartupcontrolregisters A.9.8 Ox16 .. Oxl7 Not used oxle... Ox23 t~R~M hterlace c~ ,fi~ k ~ reg;sters A.9.9 Ox24 .. Ox26 Sut~er rnanager u:c ss &nd keyhole rr~gistets A.9. 10 Ox27 Not us d ox2~... 0x2F Huffm nd coderregistets A.9.13 Ox30... Ox39 Inversequ ntiserregisbrs A.9.14 Ox3A .. ox3e Not t~i Ox3C f~eserved Ox30 ... Ox3F Nol us~d ox40 ... Ox7F Test reqistors Table A.9.5 Ovc~ ~iew of Spatial Decoder memory map 21~51~6 Addr9it ~9ister N~ Page reletences (hex) num OxO0 7 chip_event CED_EVENT 0 6 not used S Illegal_length_coun~_event SC0_lLLEGAL_LENGTt-/ COUNT
4 resetwd may read 1 or 0 SC0_Jt'EG_0VE~Uf'PtNG_STAflT
3 c~c ~ g_st rt_event SC0_NO/`t_JPE40VERLAPl~ tG_STAflT
2 , .c:~ ed_st rt_event SCo-uNt~EcoGNlsEo-sTAfT
stop_aner_picture_evenl SCD_StOt'_AfTEf~_PlCTUfE
0 non_allgned_st rt_event SCD_iW~t_ALtGNED_STAflT
OxOI 7 chlp_mask CED_btAS1~_0 6 not used S Illegal_length_count_mask 4 resetved ~ile 0 lo thu ioca~on SC0_Jt'EG_OVEflUt't'lNG_STAflT
3 nonJpeg_c~ 3_stan_m~k 2 L _ ~ in sQld-stan-mask stop_aner_plcture_mask O non_aligned_start_mask Ox02 7 Idct_too_tevt_event IDCJ DFFF_NUM
6 idct_too_nuny_event ItDCT SUt'Ef~_NUM
S accept_enable_event fS_STt~EAM_ENO_EVENT
4 tarqet_met_event ES_TAf~GET MET EVENT
3 counter_tlushed_too_e~riy_event BS_FLUSt1 8EFOfE TAf~GET MET EVENT
2 counler_flusl~ed_event ES_fLUSH_EVENT
parser_event OEMUX_fVt-NT
0 huftman_ vent tlUfFhfAN_E~ENT
Table A.9.6 Interrupt servlce area r~, sters ` 214S156 Aodn sa Regis~etName Page r~
he~) num.
0~03 7 Ida_too_~ew_mask 6 idct_too_m ny_mask S accept_enable_mask 4 'orget_met_ma~k 3 counter_tlushed_too_ratiy_m sk 2 count r_~lushcd_mask panet_mask O hut~man_m~sk Table A.9.6 Interrupt ~ervice area regi~ter~ ~contd) `

Addr Bit Register Name Page letélel~ces (hex) num Ox04 7 coded_busy 6 cnaOle_mpl_lnput 5 cod-d_extn 4:0 rlot used Ox05 7 0 coded_daU
Ox06 7 0 not used Ox07 7 0 not used Ox08 7 1 not us d O sun_cod-_dctecto-_accc#
aiso Input_clrcuht_access C~O_SCO_ACCESS
Oxo9 7 4 not used C~O_SCO_CO~ITFIOL
3 stop_ tter_picturc 2 disc rd_c~nslon_dau discard_user_d-u O Ignore_non_aligncd OxOA 7 5 notu#d OED_SCO_STATVS
4 in#rt_siucnc~_start 3 discard_all_daa 2 0 st rt_code_#- ch Tabie A.9.7 Start code detector and input circuit leg;~t~.s ~14515~

Addtait R gislerName ~age thex) num OxOB7 0 Test rqisler kngtl7_count oxOC7 0 OxOO7 2 not used 1 :0 ssan-code-detector-eodin9-standard OYOE7 0 st n_v~lu~
OxOF7 4 not used 3 0 plesure_number Table A.9.7 Start code dLte~t~r and input circuit regialer5 (contd) Addr Bit Rqistet Nam- Page reterences (hex) num OX10 7 1 not used 0 st~rsup_ ec~ CED_BS_ACCESS
Ox 11 7 3 not u#d 2 0 bit_eount_p~s~h CED BS_PRESCALE
Ox12 70 bis_count_t rget CEO_85_rA~GEr Ox13 7 0 bit_count CED_8S_COUNT
Ox14 71 nol used 0 o~chip_queu CED_BS_OUEUE
ox 1 s 7 1 not used 0 enabk_str~m CEO_BS_ENA8LE_NXr STM

Table A.9.8 8uffer stan-up regi~lers ~ ` 21151~6 Addr. Bit Register Name Page ~kn!nc~a (hex) num.
Ox 18 7:5 not uxd 4:0 p~g~ rt_kngth CEO_lT_f',4G~_SrAf~r LENG7H
Ox19 7:4 not ~Jsed 3:0 read_cyck_kngth Ox1 A 7:4 not used 3:0 ~rite_cycb_bngtn Tab~e A.9.9 DRAM i~e . h~ configuration (c~;~t~.

~ ` 21~51~
- 2~3 5 -Addr~;t R gister Nam- Page re~erenceS
(hex) nurn OX113 74 nolus d 3 0 relresh~rycb_hngth Ox1C74 notus d 3 0 CA5_talling 0x1D74 notu ed 3 0 RAS_blling Ox1E? 1 notused 0 inlert ee_Umlnq_acccss 0x~F70 ret~h_int r~al 0x20 7 nol used 6 4 DRAM_ ddr_str ngtht2 0 3 1 CAS_5tr ngth[2 0 O RAS_~ n~7l 0x2176 RAS_strengthl1 0]
5 3 OEWE_ `r Jt lp 0J
2 0 DftAM_data_c~r~ tvp0]
0x22 7 ACCESS bit ror p d st~ tb ~t~ ?nol useoCED_OF~4M_CONflGURE
6 2 ro_butt rs 5 DRAM_enabh 4 no_retnsh 3 ~ row-~ddre~-bltsll ol 10 DRAM_d ta_wldth~1 0]
0x23 7 0 Test repters CED_PLL_RES_CONFIG
Table A.9.9 DRAM h.t.. t..c~ configuration r~yiaters (contd) Addo~ t Register Narne Page re~erences (hex) nun~
0x2471 nol used O but~er_maNger_access 0x257 6 not used 5 0 buller_nur~ ger_keyhole_address 0x2670 butter rnanager-xe~hoie-oaa Table A.9.10 Buffer ..-anager access and keyho~e registers ~' 2145156 Addt Bit Regrst Na~ Page rete-ences (hex) num.
OxOO 70 nolus d Ox01 72 10 cdb_b s-Ox02 7 0 OxO~ ~.0 Ox04 7:0 notus d OxOS 7:2 1.0 cdb_bngtb Ox06 7:0 Ox07 7:0 Ox08 7:0 not used OxO9 7:0 cdb_read OxOA 7:0 Ox08 7:0 OxOC 7 0 not u#d OxOD 7.0 cdb_number OxOE 7 0 OxOF 7:0 Ox10 7D not u#d Ox11 70 tb-ba#
Ox12 7 0 Ox13 7 0 Ox14 7.0 not us d Ox15 7 0 tb_lengU
Ox16 7:0 Ox17 7:0 Ox18 7 0 not used oxlg 7:0 tb_r d Ox1A 7 0 Ox1B 7:0 Ox1 C 7 0 not u#d Ox1 D 7 0 tb_number Ox1E 70 Ox1F 7:0 Tab~e A.9.11 Buffer manager eAt~nded address s~ace 21~S156 Bit ~egister Name Pase relerences (h) num Ox20 7 0 not us d Ox21 7 0 buner_limit Ox22 7 0 Ox23 7 0 Ox24 7 4 not used 3 cdb_~ull 2 cdb_empty tb_~ull 0 tb_empty Table A.9.11 3uffer .,.anager extended address space (contd) Addr Bit Register Name Page relerences thex) num 0~ 7 d mux_ac~s CED_H_CTR
6 4 huttm n_ nor_code 2 01 CEO_H_CrRy6 41 3 0 pri~ate hunman control bits 13] #lects special C8P 121 s lects 418 bit fixed length C5P
Ox29 7 0 p r~er_ nor code CED_H_DMUX_ERR
Ox2A 7' notus d 3 0 d mus_tr yhok_address Ox2B 7~ CED_H KEYhC~E_AODR
Ox2C 7 0 d mux_~qhok_data CEO_H_~EYHOLE
0~0 7 dummy_tust_plcture CED_H ALU_REG0.
r_dunun~_las~ ~arne_bit 6 1ield_1nto CED_H ALU_REG0,r_feld_in~o_bn 5 1 not u#d 0 contlnu CED_H ALU_REG0, t_con~nue_bn Ox2E 70 rom_r vislon CED_H ALU_REG1 Ox2F 7 0 prhat register Table A.9.12 Video demux resiste,s '- 21~5156 Addr. Bit Register Name Page re'~ _n.ea (hex) nwn.
Ox2F 7 CED_H_TRACE_EVENT wnte 1 to single slep. one witl ~ read when U~e step has been comple~ed 6 CED_H_TRACE_MASK #tto one lo enter single step mode CED_H_~RACE_RST partial reset when sequenced 1,0 4:0 not used Table A.9.12 Video demux regi~ters (contd) '- 21451~6 Ar!dr Fit Register Nane Pase relerences (hex) num OxOO 7 0 nol used OxOF

OxlO 7 0 hork_pels r horfz~ s Oxl1 70 Ox12 7 0 vert_oels r vert_pels Ox13 7 0 Ox 14 7 ~ not used 10 butter_ske r brJner s~ze OxlS ~:0 ox16 74 notus d 3 0 p l_~spt r oel aspecr ox 17 7~ not used 10 bit_rat r_bi~_t te ox18 7 0 ox19 70 Ox 1 A 7 4 not used 3 0 pic_rate r oic-tate ox1~ 71 notused O ~ r cw,~r~ . ~
ox1c 7 0 piaure_trP~

oxl D 7 0 h261_plc_typ~
Tab~e A.9.13 Video demux extended address space (Sheet 1 of 8) '- 21~5156 Addr. 8it - Regista N~ Page re~.. c~
(hex) nunL
OxlE 7~ nolus d 1 :0 broken_closed Ox1f 7:5 notus d 4.0 p.~ ~ t _mode Ox20 7n vbv_delay Ox21 7:0 Ox22 7:0 private register MPEG full_pel_lwd JPEG
pending trarne_change Ox23 7:0 pri~t re9istMPEGtull_pel_bwd JPEG
rtarl_index Ox24 7:0 private register hodz_rnb_copy Ox25 7:0 plc_number Ox26 7:1 not used 1 :0 rnax_h Ox27 7:1 not used 1 :0 m~x_v Ox25 7.0 privale register scrttch1 Q~9 7:0 private register xratch2 Ox2A 7:0 prn~te register xratdl3 OY28 7:0 Nl MPEG unused1 i~261 ingob Ox2C 70 priv t registaMPEG~ group JPEG lirst_xan Ox2D 7n p~ivate reg sta MPEG in_fic~re Ox2E 7 dumrny_i st_dcture r rorn_contrr~/
6 fll Id_into 5:1 not used O continue Ox2F 7-:0 rom_revi5ion Ox30 7~ not used 1 :0 dc_hun_O
Ox31 7 2 not used 1 :0 dc_hutl_1 0~32 7 2 nol used 1 :0 dc_hutt_2 Table A.g.13 Video demux exl_nded address s~ace (Sheet 2 ot 8) 21~515~

Addt Bit - ~ - Regist Name Page teterenceS
(h-X) nun.
Ox33 7 2 not used 1 0 dc_hutt_3 Ox34 7 not u#d 1 :0 ~_hun_O
Ox35 72 notus d 1 :0 ~c_hun_1 Ox36 7 2 not used 1 0 ~c_hun_2 Ox37 7 ~ not u#d 1 0 sc_hu~f_3 Ox38 7 2 not used 1 0 tQO r t~_O
Ox39 7 2 not used 1 o tQ1 r_~
Ox3A 7 2 not u#d 1 0 tQ2 r_rQ2 Ox33 7 2 not used 1 0 tQ3 r ~t~3 Ox3C 70 -~ ~r~ t_n~tne_Ot c_O
Ox3D 70 ~ fi~ ~-nulle-1 r c_1 Ox3E 7 0 c t_n~me_2 t_c_2 Ox3F 7 0 ~ _n~me_3 r_c_3 Ox40 7 0 privat regis~ers ox63 Ox40 7 0 r_dc_ored_O
Ox41 7 0 Ox42 7 0 r_dc_pred_1 Ox43 7 0 Ox44 7 0 r_dc_~red_2 Ox45 7 0 Ox46 7 0 r_oc_pred_3 Ox47 7 0 Ox48 7 0 notused Ox4F
Tab~e A.9.13 Video demux extended address space (Sheet 3 of 8) Addr Bn Register Name Pa9e i-(hex) num Ox50 70 r_pr v_mh~
Ox51 7 0 OxS2 7 0 r_prev_mvt OxS3 7 0 OxS4 7 0 r_prev_mhb OxS; 7 0 OxS6 70 r_prev_mvb OxS7 7 0 OxS3 7 0 not used OxSF
Ox60 7~ r_hork_mbcnl Ox61 7 0 Ox62 7 0 r_ver~mbcnt Ox63 7 0 Ox64 7 0 hork_ ~ et ~ r_hork_mbs Ox65 7 0 Ox66 7 0 v rt_ ac( t r_vert_mbs Ox67 7 0 Ox68 70 privale registerr_r sttrt_cnt Ox69 7 0 Ox6A 7 0 rffurt_înter~l r_restan_int Ox6B 7 0 Ox6C 7 0 private register r_blk_h_cnt Ox6D 7 0 private regist r_blk_v_cnt Ox5E 7 0 prrvate register r_compid Ox6F 7 0 rniax_: 3 r t_;d r_max_compid Ox70 7 0 coding_sS~nr~rd r_coding_std Ox~1 7 0 private regista r_panem Ox72 7 0 private rogista r_t vd_r_size Ox73 7 o priviate register r_bwd_r_size Ox74 7 0 not used Ox77 Ox78 7 2 not used 1 0 b~ocks_h_0 r_bik_h_0 Tab~e A.9.13 V~deo demux ext~nd~d address space (Sheet 4 of 83 214~1~6 ACdr. Flt ,~ Regisler Name Page relerences (hex~ num.
0x797 2 not used 1:0 bloclts_h_1 r_blk_h_1 0x7A7 2 nol used 1:0 blocks_h_2 r_blk_h_2 ox7e7 2 not used 1:0 blocks_h_3 r_blk_h_3 0x7C7 2 nol used 1:0 blocks_v_0 r_blk_v_0 0x707 2 not used 1:0 blocks_v_1 r_blk_v_1 0x7E7 2 nol wed 1:0 blocks_v_2 r_blk_v_2 0x7F7:2 no~ used 1:0 blocks_v_3 r_blk_v_3 0x7F7:0 not used 0xFF
0x100 7:0 dc_bits_0~15:0] CED_H_KEY_DC_CPB0 0x l OF
0x110 7:0 dc_bits_1[15:0] CED_H_KEY_GC_CPB1 0x11F
0x120 7:0 nol used 0x13F
0x140 7:0 ac_b;ts_0l15:0] CED_H_KEY_AC_CPe0 0x14F
0x150 7:0 ac_bits_1~15:0] CED_H_KEY_AC_CPB1 0x 1 SF
0x160 7:0 not used 0x1 7F

0x180 7:0 dc_~ssss_0 CED_H_KEY_2SSSS_INOEX0 0x181 7:0 dc_2ssss_1 CED_H_KEY_ZSSSS_INDEX1 0x182 7:0 not used 0x l 87 0xl88 7:0 ac_eob_0 CED_H_KEY_EO8_1NDEX0 Tab~e A.9.13 Video demux ext~nded address space (Sheet S of 8) 214~1S6 Addr. Bit Regisler Name Pase re~erencr e x) num.
0x189 70 ac_eob_1 CED-H-KEy-EoEl-lNDE
0x18A 7.0 not used 0x19 0x18C 7:0 ~c_zrI_0 CED_H_KEY_ZFlL_INOEX0 0x18D 7 0 zc_zrl_1 CED_H_KEY_ZRL_INOEX1 Ox 18E 7:0 no~ d 0x1 FF
0x200 7:0 ~c_huttval_0t161:0]CED_H KEY AC_iTOD_0 0x2AF
0x2B0 7 0 dc_hutha1_0111:01 CED_H_KEY_OC_ITOD_o 0x2BF
0x2C0 7:0 nol used 0x2FF
0x300 7:0 ac_huttvaI_1~161:0~ CED_H_KEY_AC_ITOD_l 0x~lAF
0x3B0 7:0 dc_hutlval_1111:0] CED_H_KEY_DC_ITOD_1 0x3BF
0x3C0 7:0 nol used 0x7FF
0x800 7:0 pn~aler gisters 0xAC
F

0x800 7:0 CED_KEY_TCOEFF_CPB
0x80F
0x810 7:0 CED_KEY_CE~P_CPB
0x81F
0x820 7:0 CED_KEY_MBA_CPB
0x82F
0x830 7:0 CED_KEY_MVD_CPB
0x83F
0x840 7:0 CED_KEY_~TYPE_I_CP~ j 0x84F
Table A.9.13 Video demux extended address space (Sheet 6 ot 8) - 21~5156 Addr. 8n R~tetName Pa5e,c~.. e~
ex) nurn.
Ox850 7:0 CED_KEY_MTYPE_P_CPB
Ox85F
0x860 7:0 CED_KEY_MTYPE_8_CPB
Ox86F
Ox870 7:0 CE0_KEY_MTYPE_H261_CPB
Ox88F
Ox880 7.0 notused Ox900 0x901 7:0 CED_KEY_HOSTROM_0 oxgo2 7:0 CED_KEY_HDSTROM_1 0x903 7:0 CED_KEY_HDSTROM_2 Ox90F
Ox910 7:0 nc~used 0xAB
F

0xAC 7:0 CED_KEY_DMX_WORD_0 o 0xfAC 7:0 CED_KEY_DMX_WORD_1 0xAC 70 CED_KEY_DMX_WORD_2 0xAC 7:0 CED_KEY_DMX_WORD_3 0xAC 7:0 CED_KEY_DMX_WORD_4 0xAC 7:0 CED_KEY_DMX_WORD_S
s 0xAC 7:0 CED_KEY_DMX_WORD_6 0xAC 7:0 CED_KEY_DMX_WORD_7 Ta~e A.9.13 Video demux extended address space (Sheet 7 of 8) ~ ` 214~156 Addr, 5it Register Na~e Page references thê~r~ num, 0xAC 7:0 CED_KEY_DMX_WORO_8 fl 0xAC 7:0 CED_KEY_OMX_WORO_9 0xAC 7:0 not used A

OxAC
B

0xAC 7:0 CED_KEY_DMX_AINCR
C

0xAC 7:0 D
0xAC 7:0 CED_KEY_DMX_CC
E
0xAC 7:0 F

Table A.9.13 Video demux extended address space (Sheet 8 Of 8) Addr. f3it Regist Nun(e Page relerences (hex) num~
7:1 not used 0x30 7:1 not useci O lo_access f~3~ 7 7 no~ U#d 1:0 lo coding_s~andard 0x32 7:5 not u#d 4:0 testreqsterin scale 0x33 7 ~ not u#d 1:0 test register h_c~-~ 5 ~ ~1 0x34 7 2 not useri 1:0 test regisbr inverse_guantiser_Drediction_mode G~35 7:0 t~t register ipec ~ n Table A~9.14 Inverse quantiser registers ` ` 214~156 Ar~dr Bit Resister Name Pase ~terences (hex) num Ox36 7 ~ no~used l 0 test resister mpeg_;n~ e~l;on Ox37 7 0 not used Ox38 7 0 iq_tabl-_kcyhole_aC~r-Ss Ox39 7 0 Iq_table_k-yhol-_data Tabl~ A. 9 .14 Inver~e qu~ntizer registert3 ~contd) Adde Register Name Pa5e reterences ~hex) OxOO Ox3F JPEG Inverse quantlsation Uble 0 MPEG detault intra table Ox40 0x7F JPEG Inverse quantisanon Uble 1 MPEG detault non-intra Uble Oxt~O Ox9F JPEG Inverse quantisation table 2 MPEG down-loaded intra Uble QxCO OxFF JPEG Inverse quanosat~on Uble 3 MPEG down-loaded non-intra table T ble A. 9 .15 Ig table extended addres ~p~ce ~145156 ~ 248 SECTIO~I A.10 Coded data input The system in accordance with the present invention, must know what video standard is being input for processing. Thereafter, the system can accept either pre-existing Tokens or raw byte data which is then placed intoTokens by the Start Code Detector.
Consequently, coded data and configuration Tokéns can be supplied to the Spatial Decoder via two routes:
The coded data input port The microprocessor interface (MPI) The choice over which route(s) to use will depend upon the application and system environment. For example, at low data rates it might be possible to use a single microprocessor to both control the decoder chip-set and to do the system bitstream de-multiplexing. In this case, it may be possible to do the coded data input via the MPI.
Alternatively, a high coded data rate might require that coded data be supplied via the coded data port.
In some applications it may be appropriate to employee a mixture of MPI and coded data port input.

,~ t5156 A.10.~ ~he coded datA port Input /
Signal Name DeYripaon OUtpUt codect_clock Input A clock op-raang at up ~o 30 MHz controlling the op-rabon o~ ~he input cireun coded_data~7 0~ Input The standard 11 vrires required lo irnplemenl a coded_-stn Input TokenPortb n dl Ij 8bitdalavalues Seesec~on coded_va~idInput A 4 for an electrical descnvLon ol this coded_acc-ptOutput int-rface Circuits off chip must package the coded dala imo Tokens byte_mod- Input Wh-n high this signal indicates that inlormation is to be tlal j ~ ~ across th- coded data port In ~yte moOe rather than roken mode.

Table A.10.1 Coded data port t3ignals ' - `

Thei~coded data port in accordance with the present invention, can be operated in two modes: Token mode and byte mode.

A.10.1.1 Token mode In the present invention, if byte_mode is low, then the coded data port operates as a Token Port in the normal way and accepts Tokens under the control of coded_valid and coded_accept. See section A.4 for details of the electrical operation of this interface.
The signal byte_mode is sampled at the same time as data [7:0], coded_extn and coded_valid, i.e., on the rising edge of coded_clock.
A.10.1.2 Byte mode If, however, byte_mode is high, then a byte of data is transferred on data[7:0] under the control of the two wire interface control signals coded_valid and coded_accept. In this case, coded extn is ignored. The bytes are subsequently assembled on-chip into DATA Tokens until the input mode is changed.
l)First word ("Head") of Token supplied in token mode.
2)Last word of Token supplied (coded_extn goes low).
3)First byte of data supplied in byte mode. A new DATA Token is automatically created on-chip.

A.10.2 Supplying data via the MPI
Tokens can be supplied to the Spatial decoder via the MPI by accessing the coded data input registers.
A.10.2.1 Writing Tokens via the MPI
The coded data registers of the present invention are grouped into two bytes in the memory map to allow for efficient data transfer. The 8 data bits, coded_datat~:~, are in one location and the control registers, coded_busy, enable-mpi-input and coded_extn are in a second location.

51~i6 (See~T~ble A.9.7).
When configured for Token input via the MPI, the current Token is extended with the current value of coded_extn each time a value is written into coded_data[7:0]. Software is responsible for setting coded extn to 0 before the last word of any Token is written to coded_data[7:0].
For example, a DATA Token is started by writing 1 into coded_extn and then Ox04 into coded_data[7:0]. The start of this new DATA Token then passes into the Spatial Decoder lo for processing.
Each time a new 8 bit value is written to coded_data[7:0], the current Token is extended. Coded_extn need only be accessed again when terminating the current Token, e.g. to introduce another Token. The last word of the current Token is indicated by writing 0 to coded_extn followed by writing the last word of the current Token into coded data[7:0].
D

Register rame ~ ~7 D ~ ~ption cn C

coded_enn t x ,ok-ns can be suppliec to Ihe Spa~ial Oecocer rw vla lhe MPI by wriong to these reglsters cod-d_datal? Ol 8 ~t w codeC_busy 1 l The state ol lhis registers in~icates il the r Spatiat Decoder is aOle ~o acceot Tokers written into cod~d_data[T l The value 1 indicates Lhat L'l~ inter~ace is t~usy and unaDb to accept data Behaviour is undefined i~ the use trles to write to coded_dat~t~ Ol when co~-~_Dusy = 1 enaDle_mpl_inpu~ l O The value in Lhis hnction enaOle reglsters rw controls whelher coded data input to the S pa~ a!
Decoder is via the coded daLa pon (O) or via L~e MP~
Table A.10.2 Coded data input registers ~- 214~156 .

Each ~ime before writing to coded data[7:0], coded_busy should be inspected to see if the interface is ready to accept more data.
A.10.3 Switching between input mode~
Provided suitable precautions are observed, it is possible to dynamically change the data input mode. In general, the transfer of a Token via any one route should be completed before switching modes.

Previous mocte Ne~a Mode Behaviour Byte Token The on-chip circuitry will use the lasl byte supplieC :n MPI input byte mode as the last byte o~ the DATA Token ~,a:
it was construcung (i.e. the extn bit wdl be se~ to 0).
Before accepnng the next Token.
Table A.10.3 Switching data input mode~

'- 21451S~

Prevlous moae Next Mode ae~aviour Token Eyte ~e On~cn~p circultry suoclyi~gthe roken In ,oken mode is r~,~ors ~ e lor com~le~r~t~e Token (I.e.
wl~h the e~u~ D~ o~ t~e las~ e ~tinfor~allcn se~o O) ~e~ore selec~lng ~y~e mo~e.
~PI ;nFut Ac~ess to Input vla the ~P~ wlil ~cl te ~ran~e~ 6 e.
code~_busy wlll emain sel to 1) ~ntll lhe o~lrlD
circuitry sucplying :he Token in rcken moCe has completed the Token (i.e. with ~e ex~ ~it o~t~le las~
byte o~ o",~h~/l set ~o 0).
MPI incut ~yte rne conuol so~aro must have c~ le~ecl~e MPI input Token (i.o. ~ e oxtn bit o~ Ihe l-tst tyte o~
ur"-~tion set to O) before enable_mpiinput ~s se~
~o 0.

Table A.~0.3 Switching data input modes (contd) The first byte supplied in byte mode causes a DATA Token header to be generated on-chip. Any further bytes transferred in byte mode are thereafter appended to this DATA Token until the input mode changes. Recall, DATA
Tokens can contain as many bits as are necessary.
The MPI register bit, coded busy, and the signal, coded_accept, indicate on whlch interface the Spatial decoder is willing to accept data. Correct observation of these signals ensures that no data is lost.
A.10.4 Rate of accepting coded data In the present invention, the input circuit passes Tokens to the Start Code Detector (see section A.11). The Start code Detector analyses data in the DATA Tokens bit serially. The Detector's normal rate of 214~156 .

proce~ci~g is one bit per clock cycle (of coded clock).
Accordingly, it will typically decode a byte of coded data every 8 cycles of coded_clock. However, extra processing cycles are occasionally required, e.g., when a non-DATA
Token is supplied or when a start code is encountered in the coded data. When such an event occurs, the Start Code Detector will, for a short time, be unable to accept more information.
After the Start Code Detector, data passes into a first logical coded data buffer. If this buffer fills, then the Start Code Detector will be unable to accept more information.
Consequently, no more coded data (or other Tokens) will be accepted on either the coded data port, or via the MPI, while the Start Code Detector is unable to accept more information. This will be indicated by the state of the signal coded_accept and the register coded busy.
By using coded_accept and/or coded busy,the user is guaranteed that no coded information will be lost.
However, as will be appreciated by one of ordinary skill in the art, the system must either be able to buffer newly arriving coded data (or stop new data for arriving) if the Spatial decoder is unable to accept data.
A.10.5 Coded data clock In accordance with the present invention, the coded data port, the input circuit and other functions in the Spatial Decoder are controlled by coded_clock. Furthermore, this clock can be asynchronous to the main decoder clock. Data transfer is synchronized to decoder clock on-chip.

21~S1~6 ~ 255 SECTIO~ A.ll Start code detector A.11.1 Start codes As is well known in the art, MPEG and H.261 coded video streams contain identifiable bit patterns called start codes. A similar function is served in JPEG by marker codes. Start/marker codes identify significant parts of the syntax of the coded data stream. The analysis of start/marker codes performed by the Start Code Detector is the first stage in parsing the coded data. The Start Code Detector is the first block on the Spatial Decoder following the input circuit.
The start/marker code patterns are designed so that they can be identified without decoding the entire bitstream.
Thus, they can be used in accordance with the present invention, to help with error recovery and decoder start-up. The Start Code Detector provides facilities to detect errors in the coded data construction and to assist the start-up of the decoder.
A.11.2 Start code detector regi~ters As previously discussed, many of the Start Code Detector registers are in constant use by the Start Code Detector.
So, accessing these registers will be unreliable if the Start Code Detector is processing data. The user is responsible for ensuring that the Start Code Detector is halted before accessing its registers.
The register start_code_detector_access is used to halt the Start Code Detector and so allow access to its registers. The Start Code Detector will halt after it generates an interrupt.
There are further constraints on when the start code search and discard all data modes can be initiated. These are described in A.11.8 and A.11.5.1.

~egisler ntme ~ ~ Cesc~iption ~ S
start_code_d~t cto-_acc-ss 1 0 Writing 1 to this regls;er teCuests that the s;att rw code detector stop lo allow access to i;s registets The user should wa;t untd tke va~ue I
can be read Itom this reSister indicaang that operation has stopped and access is pcss;ble Table A.11.1 Start code detector register~ (Sheet 1 of S) 214~156 Registetname ~; " Oescrption illegal_l-ngtn_count_event 1 O An illegal length count evenl wlll occur tt whlie rw decoding JPEG data a length count field Is ill-gal_length_count_mask 1 O lound carrying a value less than 2 ~h6 should w only occur as the result ot an error in the JPEG
data l~ the mask register is set to 1 then an interruDt can be generated and the stan code detector will stop Behaviour ~ollowing an error is not ;s, ~ ~iu i~ this enor is suppressed (mask register set to 0) See A 11 4 1 IP~9_0 ~ FP n9-Stan-eVent 1 O It the coding standard is JPEG and the rw s-quence 0xFF 0xFF is lound while looking o~
peg_c~r ap, ,g_stan_rnask 1 O a marker cod- this event will occur rw This sequence is a legal stut~ing sequence It the mask register is set to 1 then an interrupt can i~ generated and the start code detector will stop See A 11 4 2 o eri~pp 1g_ston_ vent 1 O l~th codingstandardisMPEGori1251 and r v an ove l.~pj5i~ 19 stan code is ~ound while looking o ILp;~ing_stan_mask 1 0 lorastartcodethiseventwilloccur Itthemask rw register is set to 1 then an interrupt can ~e generated and the stan code detector wlil stop S-e A11 4 2 Table A.11.1 Statrt code detector registers (Sheet 2 of 5) 21~Sl56 Regis~er name Q Q OesCrip~lOn iJ~i Q
unr~cogn s ~d-sun-eyent 1 0 l~ an unreco.tn,sed s;art coCe IS encoun~ered rw this rven~ wlll occur. l~ the ma51~ reg~ster is set unl~:3l;scd_sun_mask 1 0 to1thenaninternJptcanbeseneratedandthe rw stan code detector will stoP.
sun_v-lue ~ x The s;an code value read from the tsits~ream is ~O availat~le in the regis~er stan_value while :~e slart code detecror is halted. See A. 11.4.3 During normal operaUon stan_value con~ains the value ot the most recen~ly decoced starV
marker code.
Only Uhe 4 LSBs ot stan_value are used during H.261 operaoon. The 4 ~1Ses wilt ~e zero.
stop_aner_Dictur-_ev-nt 1 0 l~ the resister stop_atter_picture is set to 1 rw then a stop aner picture event will be generated stop_aSter_picture_mask 1 0 aner the end of a picture has passed Uhrough rw the start code detector.
stop_atter_pictur- 1 0 lt the mask register is set to 1 Uhen an interrupt rw can be generated and ~he start code de~ec:or wUt stop. See A.11.5.1 stop_aner_picture does not reset to 0 atter Ihe end ot a picture has been detec~ed so should be cleared direcUy.
Table A.11.1 Start code detector registers (Sheet 3 of 5) - 21~5156 ~

Register nam~ )escription c non_aligned_surt_ev-nt 1 0 When ignore_non_at;gnea is set to 1. s;art rw codes that are not t~yte aligned are ic,roreC
non_aligned_start_rnask 1 0 ~tteated asnormal data).
rw When ignore_non_aligned is setto 0 H.251 ignore_non_alisned 1 0 and MpEG stan coCes will t?e Cetecte~
rw rogarC ~- o~byte alignmentanC~.e non-aligned stan event will be generated.
Il the mask register is set ~o l then ;he event will cause an interrupt and the stan coCe detector will stop. See A.11.6 I~ the coding standard is configured 2S Ji~
Ignore_non_aligned is ignored andt~.e non-aligned start evenl will never be genera:ed.
aiscard_enension_oau 1 1 When these registers are sel to 1 ex~enslon or rw user data ~at cannot be CecorJed bythe aiscard-user-data 1 1 Spatiai Decoder is discarded by the slart code rw detector. See A. 11.3.3 discara_all_daU 1 0 When set to 1 all data and Tokens are nw discarded t~y the stan code detec~or. This connnues until a FLUSH Token is supplied ot the register is set to O directly.
The FLUSH Token that resets this regis:er is dissarded and no: output by the s:ar~ ccCe detector. See A.11.5.
insert_sequence_start 1 1 See A.11.7 rw Table A.11.1 S~art code detector registers (Sheet 4 of 5) ~14~156 ~``````~

F~egistet name ~ ~n Ce s .v~on start_cod-_#atch 3 5 When this register is set to O Ihe slar~ co~e r.v deteclor operales normally. '~Jhen setto a ~igher value ~he start coCe ~etec:or d~s;2rcs Cata until the specified type cf s;altcoce ;s detected. When the speoiCe~ sta.~ co~e tS
Cetected the reglster is settto C ant nr~al operabon ~ol~ows. See A. 11.3 start_code_detector_coding_sUndatd 2 0 This register configures tne coCing s;al~:ard rw used by the star. code detector. rhe regs;er can be loaded direc;)y or ~y us:ng a CODING_STANDARD ,oken.
Whenever t~e start code detector çerler,tes a CODING_STANDARD Token (see A.11.7.4 it ea..les its curren~
coding standard configura-;_n. This Ts~en will then configure the coding standard usec ~y all other pans o~ th(e decocter ehip-set. See A.21.1 ~nd A.11.7 picture_numb-t 4 0 Each time the stan coded cetec:or de!ec:s a rv~ pic:ure start code inthe Cata sueam (cr~he H.261 or_PEG equivalent) a PICTURE_START To~en is senerate~
which carnes the current value ot picture_number ~his reg;s;er Ihen ,ncre. "~:"ts.
Ta~le A.11.1 Start code detector registers (Sheet 5 ot 5) `_ ` 2145156 Register name ~ , ~ ivli.~

Iengt~_count l 6 0 This register contains the current value ot Itle JPEG leng~ count. This register ~ modihed under th~ con~ol o~ the coded data c!x~t and should only b- read via a-e MPI ~en ~e st2rl code detector is sto~ped.

Table A.11.2 Start cod- detector test regist-r~

A.11.3 Conversion of st~rt codes to Token~
In normal operation the function of the Start Code Detector is to identify start codes in the data stream and to then convert them to the appropriate start code Token.
In the simplest case, data is supplied to the Start code Detector in a single long DATA Token. The output of the Start Code Detector is a number of shorter DATA Tokens interleaved with start code Tokens.
Alternatively, in accordance with the present invention, the input data to the Start Code Detector could be divided up into a number of shorter DATA Tokens. There is no restriction on how the coded data is divided into DATA
Tokens other than that each DATA Token must contain 8 x n bits where n is an integer.
Other Tokens can be supplied directly to the input of the Start code DetectOr- In this case, the Tokens are passed through the Start Code Detector with no processing ~_ . 21~156 to oth~ ~tages of the Spatial Decoder. These Tokens can only be inserted just before the location of a start code in the coded data.
A.11.3.1 Start code formats Three different start code formats are recognized by the Start Code Detector of the present invention. This is configured via the register, start_code_detector_coding standard.

Coding Standa~d Slan Code Pan~m (h-~l) Size of sun e~de va~ue MPCG OxOO OxOO Ox01 ~vtlue> 8 bit JPEG OxfF ~alue~ 8 ~i~
H.261 OxOO 0~01 ~alue~ 4 bit Table A.11.3 8tart code formats A.11.3.2 Start cod- Tok-n equivalents Having detected a start code, the Start Code Detector studies the value associated with the start code and generates an appropriate Token. In general, the Tokens are named after the relevant MPEG syntax. However, one of ordinary skill in the art will appreciate that the Tokens can follow additional naming formats. The coding standard currently selected configures the relationship between start code value and the Token generated. This relationship is shown in Table A.11.4.

~_ ` 21~156 r Start CoCe Value Stan ccCe Token generate~ MPEC H.251 JPE^ JPE5 (hex) (hex) (hex) (name) PICTURE_START oxoo oxoo OXOA SGS
SLICE_START ' 0x01 to 0x01 to oxco ~o R5,otO
0xAF 0xCC 0xD7 R5, 7 SEQUENCE_START ox~3 0xD9 SOI
SEQUENCE_END 0xB7 0xC9 _CI
GROUP_START 0xB0 oxco SOFo~
USER_DATA OxB2 0xE0 to APPo~
0xEF APP~
0xFE COM
EXTENSION_DATA Ox85 0xC8 .,PG
OxFO to JPGoto OxFO JPGD
0x02 to RES
0xBF
oxC1 to so;~tO
0xCB SOF"
oxcC OAC
DHT_MARKER oxc. OHT
DNL_MARKER 0xDC DNL
DaT_MARKER 0xOB OQT
DRI_MARKER 0xOD DRI
Table A.11.4 Tokens from start code v~lue~
a. This Token contains an 8 bit data field which is loaded with a value determined by the start code value.
b. Indicates start of baseline DCT encoded data.

~ ` ~14~156 ~, A.11.~.3 Extended fe~tures of the coding standards The coding standards provide a number of mechanisms to allow data to be embedded in the data stream whose use is not currently defined by the coding standard. This might be application specific "user data" that provides extra facilities for a particular manufacturer. Alternatively, it might be "extension data". The coding standards authorities reserved the right to use the extension data to add features to the coding standard in the future.
Two distinct mechanisms are employed. JPEG precedes blocks of user and extension data with marker codes.
However, H.261 inserts "extra information" indicated-by an extra information bit in the coded data. MPEG can use both these techniques.
In accordance with the present invention, MPEG/JPEG
blocks of user and extension data preceded by start/marker codes can be detected by the Start Code Detector.
H.261/MPEG "extra information" is detected by the Huffman decoder of the present invention. See A.14.7, "Receiving Extra Information".
The registers, discard extension_data and discard_user data, allow the Start Code Detector to be configured to discard user data and extension data. If this data is not discarded at the Start Code Detector it can be accessed when it reaches the Video Demux see A.14.6, "Receiving User and Extension data".
The Spatial Decoder of the present invention supports the baseline features of JPEG. The non-baseline features of JPEG are viewed as extension data by the Spatial Decoder. So, all JPEG marker codes that precede data for non-baseline JPEG are treated as extension data.

1~1 f~lS~

A.11.3.~ JPEC T-bl~ defi~itioD5 JPEG supports down loaded Huffman and quantizer tables.
In JPEG data, the definition of these tables is preceded by the marker codes DNL and DQT. The Start Code Detector generates the Tokens DHT_MARKER and DQT MARKER when these marker codes are detected. These Tokens indicate to the Video Demux that the DATA Token which follows contains coded data describing Huffman or quantizer table (using the formats described in JPEG).
o a. ~ Error d~tection The Start Code Detector can detect certain errors in the coded data and provides some facilities to allow the decoder to recover after an error is detected (see A.11.8, "Start code searching").
A.ll.~ g~l JP~a lengt~ cou~t Most JPEG marker codes have a 16 bit length count field associated with them. This field indicates how much data is associated with this marker code. Length counts of 0 and 1 are illegal. An illegal length should only occur following a data error. In the present invention, this will generate an interrupt if illegal length count mask is set to l.
Recovery from errors in JPEG data is likely to require additional application specific data due to the difficulty of searching for start codes in JPEG data (see A.11.8.1).
A.ll.~.2 Ov~rL~pping Jt~rt/mark-r codes In the present invention, overlapping start codes should only occur following a data error. An MPEG, byte aligned, overlapping start code is illustrated in Figure 64. Here, the Start Code Detector first sees a pattern that looks like a picture start code. Next the Start Code Detector sees that this picture start code is overlapped with a group start. Accordingly, the Start Code Detector generates a overlapping start even~. Furthermore, the Start Code Detector will generate an interrupt and stop if overlapping_start mask is set to 1.
It is impossible to tell which of the two start codes is S the correct one and which was caused by a data error.
However, the Start Code Detector in accordance with the present invention, discards the first start code and will proceed decoding the second start code ~as if it is correct" after the overlapping start-code event has been serviced. If there are a series of overlapped start codes, the Start Code Detector will discard all but the last (generating an event for each overlapping start code).
Similar errors are possible in non byte-aligned system~
(H.261 or possibly MPEG). In this case, the state of ignore_non aligned must also be considered. Figure 65 illustrates an example where the first start code found is byte aligned, but it overlaps a non-aligned start code. If ignore non aligned is set to 1, then the second overlapping start code will be treated as data by the Start Code Detector and, therefore no overlapping start code event will occur. This conceals a possible data communications error. If ignore non aligned is set to 0, however the Start Code Detector will see the second, non aligned, start code and will see that it overlaps the first start code.
A.11.~.3 ~n~cognise~ ~tart codeS
The Start Code Detector can generate an interrupt when an unrecognized start code is detected (if unrecognized start mask = 1). The value of the start code that caused this interrupt can be read from the register start value.
The start code value Ox~4 (sequence error) is used in MPEG decoder systems to indicate a channel or media error.
For example, this start code may be inserted into the data by an ECC circuit if it detects an error that it was unable 21~156 to correct.
A.11.4.4 Sequ-nce of event generation In the present invention, certain coded data patterns (probably indicating an error condition) will cause more than one of the above error conditions to occur within a short space of time. Consequently, the sequence in which the Start Code Detector examines the coded data for error conditions is:
l)Non-aligned start codes 2)Overlapping start codes 3)Unrecognized start codes Thus, if a non-aligned start code overlaps another, later, start code, the first event generated will be associated with the non-aligned start code. After this event has been serviced, the Start Code Detector's operation will proceed, detecting the overlapped start code a short time later.
The Start Code Detector only attempts to recognize the start code after all tests for non-aligned and overlapping start codes are complete.
A.11.5 Decoder start-up and shutdown The Start Code Detector provides facilities to allow the current decoding task to be completed cleanly and for a new task to be started.
There are limitations on using these techniques with JPEG coded video as data segments can contain values that emulate marker codes (see A.11.8.1).
A.11.5.1 Clean end to decoding The Start Code Detector can be configured to generate an interrupt and stop once the data for the current picture is complete. This is done by setting stop_after_picture = 1 and stop-after-picture-mask = 1.
Once the end of a picture passes through the Start Code Detector, a FLUSH Token is generated (A.11.7.2), , ~- 2145156 an in~er~upt is generated, and the Start Code Detector stops. Note that the picture just completed will be decoded in the normal way. In some applications, however, it may be appropriate to detect the FLUSH arriving at the output of the decoder chip-set as this will indicate the end of the current video sequence. For example, the display could freeze on the last picture output.
When the Start Code Detector stops, there may be data from the "old" video sequence "trapped" in user implemented buffers between the media and the decode chips. Setting the register, discard_all_data, will cause the Spatial Decoder to consume and discard this data. This will continue until a FLUSH Token reaches the Start Code Detector or discard_all_data is reset via the microprocessor interface.
Having discarded any data from the "old" sequence the decoder is now ready to start work on a new sequence.
A.11.5.2 When to start discard all mode The discard all mode will start immediately after a 1 is written into the discard_all_data register. The result will be unpredictable if this is done when the Start Code Detector is actively processing data.
Discard all mode can be safely initiated after any of the Start Code Detector events (non-aligned start event etc.) has generated an interrupt.
A.11.5.3 Starting a new sequence If it is not known where the start of a new coded video sequence is within some coded data, then the start code search mechanism can be used. This discards any unwanted data that precedes the start of the sequence. See A.11.8.
A.11.5.4 Jumping between sequences This section illustrates an application of some of the techniques described above. The objective is to "jump"

21451~6 from a~part of one coded video sequence to another. In this example, the filing system only allows access to "blocks" of data. This block structure might be derived from the sector size of a disc or a block error correction system. So, the position of entry and exit points in the coded video data may not be related to the filing system block structure.
The stop_after picture and discard_all data mechanisms allow unwanted data from the old video sequence to be discarded. Inserting a FLUSH Token after the end of the last filing system data block resets the discard_all data mode. The start code search mode can then be used to discard any data in the next data block that precedes a suitable entry point.
A.11.6 Byte alignment As is well known in the art, the different coding schemes have quite different views about byte alignment of start/marker codes in the data stream.
For example, H.261 views communications as being bit serial. Thus, there is no concept of byte alignment of start codes. By setting ignore_non aligned = 0 the Start Code Detector is able to detect start codes with any bit alignment. By setting non-aligned_start mask = 0, the start code non-alignment interrupt is suppressed.
In contrast, however, JPEG was designed for a computer environment where byte alignment is guaranteed. Therefore, marker codes should only be detected when byte aligned.
When the coding standard is configured as JPEG, the register ignore_non_aligned is ignored and the non-aligned start event will never be generated. However, setting ignore_non_aligned = 1 and non_aligned_start_mask = 0 is recommended to ensure compatibility with future products.
MPEG, on the other hand, was designed to meet the needs of both communications (bit serial) and computer (byte ~_ ` 214515G

orient~d) systems. Start codes in MPEG data should normally be byte aligned. However, the standard is designed to be allow bit serial searching for start codes (no MPEG bit pattern, with any bit alignment, will look like a start code, unless it is a start code). So, an MPEG
decoder can be designed that will tolerate loss of byte alignment in serial data communications.
If a non-aligned start code is found, it will normally indicate that a communication error has previously occurred. If the error is a "bit-slip" in a bit-serial communications system, then data containing this error will have already been passed to the decoder. This error is likely to cause other errors within the decoder. However, new data arriving at the Start Code Detector can continue to be decoded after this loss of byte alignment.
By setting ignore_non_aligned = 0 and non_aligned_start_mask = 1, an interrupt can be generated if a non-aligned start code is detected. The response will depend upon the application. All subsequent start codes will be non-aligned (until byte alignment is restored).
Accordingly, setting non_aligned_start_mask = 0 after byte alignment has been lost may be appropriate.

MPEG JPEG H.261 ignore_non_aligned 0 1 0 non_aligned_start_rr~ask 1 0 0 Table A.11.5 Configuring for byte alignment 21~5156 a.~l.7 automatic To~ gen~rat~o~
In the present invention, most of the Tokens output by the Start Code Detector directly reflect syntactic elements of the various picture and video coding standards. In addition to these "natural" Tokens,some useful "invented"
Tokens are generated. Examples of these proprietary tokens are PICTURE_END and CODING STANDARD. Tokens are also introduced to remove some of the syntactic differences between the coding standards and to-~tidy up" under error conditions.
This automatic Token generation is done after the serial analysis of the coded data (see Figure 61, "The Start Code Detector"). Therefore the system responds equally to Tokens that have been supplied directly to the input of the Spatial Decoder via the Start Code Detector and to Tokens that have been generated by the Start Code Detector following the detection of start codes in the coded data.
A.11.7.1 Intic ting the dnd Of a picturQ
In general, the coding standards don't explicitly signal the end of a picture. However, the Start Code Detector of the present invention generates a PICTURE_END Token when it detects information that indicates that the current picture has been completed.
The Tokens that cause PICTURE END to be generated are:
SEQUENCE_ START, GROUP START, PICTURE START, SEQUENCE END
and FLUSH.
A.11.7.2 8top after picture and option If the register stop after picture is set, then the Start Code Detector will stop after a PICTURE_END Token has passed through. However, a FLUSH Token is inserted after the PICTURE END to "push" the tail end of the coded data through the decoder and to reset the system. See A.11.5.1.

~- 214~156 A.11.1.~3- Introducing sequence start for H.261 H.261 does not have a syntactic element equivalent to sequence start (see Table A.11.4). If the register insert sequence_start is set, then the Start Code Detector will ensure that there is one SEQUENCE_START Token before the next PICTURE_START, i.e., if the Start Code Detector does not see a SEQUENCE_START before a PICTURE_START, one will be introduced. No SEQUENCE_START will be introduced if one is already present.
This function should not be used with MP~G or JP~?G.
A.11.7.4 Setting coding standard for each sequence All SEQUENCE_START Tokens leaving the Start Code Detector are always preceded by a CODING_STANDARD Token.
This Token is loaded with the Start Code Detector's current coding standard. This sets the coding standard for the entire decoder chip set for each new video sequence.
A.11.8 Start code searching The Start Code Detector in accordance with the invention, can be used to search through a coded data stream for a specified type of start code. This allows the decoder to re-commence decoding from a specified level within the syntax of some coded data (after discarding any data that precedes it). Applications for this include:
start-up of a decoder after jumping into a coded data file at an unknown position (e.g., random accessing).
to seek to a known point in the data to assist recovery after a data error.
For example, Table A.11.6 shows the MPEG start codes searched, for different configurations of start_code_search. The equivalent H.261 and JPEG
start/marker codes can be seen in Table A.11.4.

, 21~51~

code_s~arch Start codes searc~ed ~o~ .
o ' Normal o~eratlon Reserved ~W~ enave zc discard ~ata) 3 sequenc- stan st~n_cod~_search Start co~s s~rch~d ~or 4 group o~ uence stan S ~ picnJre~ group or se~uenc~ stan 6 slice. p~nJre, group or se~uence s~
7 the next start or mark~r cod~

Table A.11.6 Start code s-arch modes -a. A FLUSH Token places the Start Code Detector in this search mode.
b. This is the default mode after reset.

When a non-zero value is written into the start_code_search register, the Start Code Detector will start to discard all incoming data until the specified start code is detected. The start_code_search register will then reset to 0 and normal operation will continue.
The start code search will start immediately after a non-zero value is written into the start_code_search register. The result will be unpredictable if this is done when the Start Code Detector is actively processing data.
So, before initiating a start code search, the Start Code Detector should be stopped so no data is being processed.
The Start Code Detector is always in this condition if any of the Start Code Detector events (non-aligned start event etc.) has just generated an interrupt.
A.11.8.1 LimitatiOns on using start code search with JPEG

21~1S6 Mos~-JPEG marker codes have a 16 bit length count field associated with them. This field indicates the length of a data segment associated with the marker code. This segment may contain values that emulate marker codes. In normal operation, the Start Code Detector doesn't look for start codes in these segments of data.
If a random access into some JPEG coded data "lands" in such a segment, the start code search mechanism cannot be used reliably. In general, JPEG coded video will require additional external information to identify entry points for random access.

SECTIO~ A.12 Decoder start-up control A.12.1 Overview of decoder start-up In a decoder, video display will normally be delayed a short time after coded data is first available. During this delay, coded data accumulates in the buffers in the decoder. This pre-filling of the buffers ensures that the buffers never empty during decoding and, this, therefore ensures that the decoder is able to decode new pictures at regular intervals.
Generally, two facilities are required to correctly start-up a decoder. First, there must be a mechanism to measure how much data has been provided to the decoder.
Second, there must be a mechanism to prevent the display of a new video stream. The Spatial Decoder of the invention provides a bit counter near its input to measure how much data has arrived and an output gate near its output to prevent the start of new video stream being output.
There are three levels of complexity for the control of these facilities:
Output gate always open Basic control Advanced control With the output gate always open, picture output will start as soon as possible after coded data starts to arrive at the decoder. This is appropriate for still picture decoding or where display is being delayed by some other mechanism.
The difference between basic and advanced control relates to how many short video streams can be accommodated in the decoder~s buffers at any time. Basic control is sufficient for most applications. However, advanced control allows user software to help the decoder manage the start-up of several very short video streams.

A.12.2 ~PEG video buffer verifier MPEG describes a "video buffer verifier" (VBV) for constant data rate systems. Using the VBV information allows the decoder to pre-fill its buffers before it starts to display pictures. Again, this pre-filling ensures that the decoder's buffers never empty during decoding.
In summary, each MPEG picture carries a vbv delay parameter. This parameter specifies how long the coded data buffer of an "ideal decoder" should fill with coded data before the first picture is decoded. Having observed the start-up delay for the first picture, the requirements of all subsequent pictures will be met automatically.
MPEG, therefore, specifies the start-up requirements as a delay. However, in a constant bit rate system this delay can readily be converted to a bit count. This is the basis on which the start-up control of the Spatial Decoder of the present invention operates.
A.12.3 Definition of a stream In this application, the term stream is used to avoid confusion with the MPEG term sequence. Stream therefore means a quantity of video data that is "interesting" to an application. Hence, a stream could be many MPEG sequences or it could be a single picture.
The decoder start-up facilities described in this chapter relate to meeting the VBV requirements of the first picture in a stream. The requirements of subsequent pictures in that stream are met automatically.

~, 2145156 A.12.4 ~t~rt-up control registers Regis;er name ~ ~ Cescn?tion startup_access 1 0 Wnong 1 to thisregis:ertesues;stra;t~eb-t CED_95_ACCESS rw counter ana ga:e oper ing logic s;op to allow access to their confisuratlon registers.
bit_count 8 O This Oit countems Incremente~ as coce~ ~a~a CE~_9S_COUNr rw leaves the stan code ce~ector. The r.urrcer o~
Oit_count_prescale 3 O bits required to ircrernent bit_count ^nce .s CEO_95_PRESCALE rw approx. 2~bit-~unt~r~ x 512.
The bit counter stans coun~ing bits a ter a FLUSH Token ?asses through the bit cr un~er.
IRs reset to 2ero and then stops increrrentir g aher the bit counttarge~ ~las been met.
bit_count_targ-t a x This register specifies the bit count target. A

CED_85_TA~GEr rw target met event is senerated whenever~he ~ollowing condition ~ecomes true:

bit_count ~= bit_count_target target_me~_ev-nt 1 O When the bit counttarge~ is met this evem wtU

9S_TAf~GET MET EVENT rw be generated. It uhe mask register is set ~o 1 targe~_met_ma~k 1 O then an interruptcan be generated however rw lhe bit counter Will .~OT stop proceSs rg ~a;a.

This event will occur when the Ibit col;n;er ;n. rL.... t to its ;arget. It will also oc^ur i~ a tatget value is written which is lesslhan or equal ~o ~he curren~ va~ue ol the ~t cour.tr~
Writing O to bit_count_target will always generate a targe~ n~et event.
T~ble A.12.1 Decoder statrt-up registers ~ ` 2145156 Register name ~ ~ D~ ;~JIion counter_tlushed_event 1 0 When a FLUSH Toiten pa~ses througn the eil 9S_FLUSH_eVENT rw coun~ Circult this event will occur If the mask counter-nushed-mask 1 0 register is set to 1 then an interrupt can be rw generated and the bit counter will stop counter_tlushed_too_early_ event 1 0 If a Fl USH Token passe,s throush ~e blt es-FLusH-BEEo~E-TARGEr-MEr-EvENr rw count circuil and the ei~ count tarset has not counter_tlushed_too_early_mas~ 1 0 been met this event wlll occur It the mask rw register is set to 1 then an interrupt can be gen-rated and the blt counter will stop See A 1 2 1 0 ottchip_queue 1 0 Setting this register to 1 configures ;he gate CED_BS_CUEUE rw opening losic to require ",._rup,~;esso/
support When this resis;~r is s~t to 0 ~h~ output gate control lo~gic will au~u, dacL lly cGntrol ~he operaaon of the output Sate See sections A 12 6 and A 12 7 enable_stream 1 0 When an on-chip queue is in use writing to rw enabl- stream conuols the beha~our of the CED_BS_ENABLE_NXT S~M -output gate aiter the end oi a sueam passes through it A one in this registet enables the ou Fu1 sa~e to op-n The resister wlll be resel when an accept_enaOle intem~Dt is generate~
Table A.12.1 l~ecoder start-up registers (contd) 214~156 ~. 3 , D~

accep~_enabl-_event 1 0 Trhis evenl inoicales thal a F-USH Token has ~S_Sr~AJU_ENO_EVEM ~r p cSed thtwgh ~.he output gate (caus;ng i~ to accep~_enat~le_mask 1 0 close) and tha~ an ena~le was availaole to allow rw the ga~e ~o open.
1~ ~he mask reglster is set to 1 tnen an ~n:errupt can oe senerated an~ the register enable_stteam will oe rese~. See A.12.7.1 Table A.12.1 Decoder ~tart-up regi~ter~ ~contd) " 21~5156 A.12.-`~ ~utput gate alway~ open The output gate can be configured to remain open. This configuration is appropriate where still pictures are being decoded, or when some other mechanism is available to manage the start-up of the video decoder.
The following configurations are required after reset (having gained access to the start-up control logic by writing 1 to startup_access):
set offchip_queue = 1 set enable_stream = 1 ensure that all the decoder start-up event mask registers are set to 0 disabling their interrupts (this is the default state after reset).
(See A.12.7.1 for an explanation of why this holds the output gate open.) A.12.6 Basic operation In the present invention, basic control of the start-up logic is sufficient for the majority of MPEG video applications. In this mode, the bit counter communicates directly with the output gate. The output gate will close automatically as the end of a video stream passes through it as indicated by a FLUSH Token. The gate will remain closed until an enable is provided by the bit counter circuitry when a stream has attained its start-up bit count.
The following configurations are required after reset (having gained access to the start-up control logic by writing 1 to startup_access):
set bit_count_prescale approximately for the expected range of coded data rates set counter_flushed too early mask = 1 to enable this_ error condition to be detected Two interrupt servlce routines are required:
Video Demux service to obtain the value of ~ 2I45156 by~delay for the first picture in each new stream Counter flushed too early service to react to this condition The video demux (also known as the video parser) can generate an interrupt when it decodes the vbv delay for a new video stream (i.e., the first picture to arrive at the video demux after a FLUSH). The interrupt service routine should compute an appropriate value for bit count_target and write it. When the bit counter reaches this target, it will insert an enable into a short queue between the bit counter and the output gate. When the output gate opens it removes an enable from this queue.

- 214~1~6 A.~2.~.1 8t~rt~ng ~ ~e~ tre~ 5hortly ~fter ~other f~
As an example, the MPEG stream which i~ about to finish i6 called A and the MPEG stream about to start is called B.
A FLUSH Token should be inserted after the end of A. This pushes the last of its coded data through the decoder and alerts the various sections of the decoder to expect a new stream.
Normally, the bit counter will have reset to zero, A
having already met its start-up conditions. After the FLUSH, the bit counter will start counting the bits in stream B. When the Video Demux has decoded the vbv delay from the first picture in stream ~, an interrupt will be generated allowing the bit counter to be configured.
As the FLUSH marking the end of stream A passes through the output gate, the gate will close. The gate will remain closed until B meets its start-up conditions. Depending on a number of factors such as: the start-up delay for stream B and the depth of the buffers, it is possible that B will have already met its start-up conditions when the output gate closes. In this case, there will be an enable waiting in the queue and the output gate will immediately open.
Otherwise, stream B will have to wait until it meets its start-up requirements.
A.12.6.2 A Succ~ssion of ~hort ~tre~m-The capacity of the queue located between the bitcounter and the output gate is sufficient to allow 3 separate video streams to have met their start-up conditions and to be waiting for a previous stream to finish being decoded. In the present invention, this situation will only occur if very short streams are being decoded or if the off-chip buffers are very large as compared to the picture format being decoded).
In Figure 69 stream A is being decoded and the '- 2145156 output gate is open). Streams B and C have met their start-up conditions and are entirely contained within the buffers managed by the Spatial Decoder. Stream D is still arriving at the input of the Spatial Decoder.
Enables for streams B and C are in the queue. So, when stream A is completed B will be able to start immediately.
Similarly C can follow immediately behind B.
If A is still passing through the output gate when D
meets its start-up target an enable will be added to the queue, filling the queue. If no enables have been removed from the queue by the time the end of D passes the bit counter (i.e., A is still passing through the output gate) no new stream will be able to start through the bit counter. Therefore, coded data will be held up at the input until A completes and an enable is removed from the queue as the output gate is opened to allow B to pass through.
A.12.7 Advanced operation In accordance with the present invention, advanced control of the start-up logic allows user software to infinitely extend the length of the enable queue described in A.12.6, "Basic operation". This level of control will only be required where the video decoder must accommodate a series of short video streams longer than that described in A.12.6.2, "A succession of short streams".
In addition to the configuration required for Basic operation of the system, the following configurations are required after reset (having gained access to the start-up control logic by writing 1 to start_up access):
set offchip_queue = 1 set accept_enable_mask = 1 to enable interrupts when an enable has been removed from the queue set target met_mask = 1 to enable interrupts when a stream's bit count target is met ~ ~14~156 Tw~ ad~itional interrupt service routlnes are required:
accept enable interrupt Target met interrupt When a target met interrupt occurs, the service routine should add an enable to its off-chip enable queue.
A.12.7.1 Output gate logic behavior Writing a 1 to the enable_stream register loads an enable into a short queue.
When a FLUSH (marking the end of a stream) passes through the output gate the gate will close. If there is an enable available at the end of the queue, the gate will open and generate an accept_enable event. If accept_enable_mask is set to one, an interrupt can be generated and an enable is removed from the end of the queue (the register enable_stream is reset).
However, if accept_enable_mask is set to zero, no interrupt is generated following the accept_enable_event and the enable is NOT removed from the end of the queue.
This mechanism can be used to keep the output gate open as described in A.12.5.
A.12.8 Bit counting The bit counter starts counting after a FLUSH Token passes through it. This FLUSH Token indicates the end of the current video stream. In this regard, the bit counter continues counting until it meets the bit count target set in the bit_count_target register. A target met event is then generated and the bit counter resets to zero and waits for the next FLUSH Token.
The bit counter w~ill also stop incrementing when it reaches it maximum count (255).
A.12.9 Bit count prescale In the present invention, 2UI~c~nl-pr~ e-l~ x 512 bits are ~_ ` 2145156 required~~to increment the bit counter once. Furthermore, bit count prescale is a 3 bit register than can hold a value between O and 7.

n Range (bils) R? s Il t ~ (bi~) 0 0 to 262144 1024 1 0 to 524288 2048 7 O lo 31457280 122880 Table A.12.2 Exampl- bit counter ranges The bit count is approximate, as some elements of the video stream will already have been Tokenized (e.g., the start codes) and, therefore includes non-data Tokens.
A.12.10 Counter flu~hed too arly If a FLUSH token arrives at the bit counter before the bit count target is attained, an event is generated which can cause an interrupt (if counter flushed too_early_mask =
1). If the interrupt is generated, then the bit counter circuit will stop, preventing further data input. It is the responsibility of the user's software to decide when to open the output gate after this event has occurred. The output gate can be made to open by writing O as the bit count target. These circumstances should only arise when trying to decode video streams that last only a few pictures.

` 21~5156 SECT~ A.13 Buffer Management The Spatial Decoder manages two logical data buffers:
the coded data buffer (CDB) and the Token buffer (TB).
The CDB buffers coded data between the Start Code Detector and the input of the Huffman decoder. This provides buffering for low data rate coded video data. The TB buffers data between the output of the Huffman decoder and the input of the spatial video decoding circuits (inverse modeler, quantizer and DCT). This second logical buffer allows processing time to include a spread so as to accommodate processing pictures having varying amounts of data.
Both buffers are physically held in a single off-chip DRAM array. The addresses for these buffers are generated by the buffer manager.
A.13.1 Buffer manager registers The Spatial Decoder buffer manager is intended to be configured once immediately after the device is reset. In normal operation, there is no requirement to reconfigure the buffer manager.
After reset is removed from the Spatial Decoder, the buffer manager is halted (with its access register, buffer_manager_access, set to 1) awaiting configuration.
. After the registers have been configured, buffer_manager_access can be set to 0 and decoding can commence.
Most of the registers used in the buffer manager cannot be accessed reliably while the buffer manager is operating.
Before any of the buffer manager registers are accessed buffer_manager_access must be set to 1. This makes it essential to observe the protocol of waiting until the value 1 can be read from buffer_manager_access. The time taken to obtain and release access should be taken into CA21 ~1 56 consideration when polling such registers as cdb_full and cdb_empty to monitor buffer conditions .

Register name Size/Dlr Reset Des~.,iuLio,, State buffer_manager access - 1 1 This access bit stops the operation of the rw buffer manager so that its various registers can be accessed reliably. See A.6.4.1 Note: this access register is unusual as its default state after reset is 1. i.e. after reset the buffer manager is halted awaiting configuration via the 1" u~ucessu~ interface.
Register name Size/Dlr Reset De .~ ,tio"
State buffer manager_keyhole address 6 X Keyhole access to the e%ended address rw space used for the buffer manager registers shown below. See A.6.4.3 for more buffer manager_keyhole_data 8 X il~f~ ,at;on about accessing registers rw through a keyhole.
buffer limrt 18 X This specifies the overall size of the DRAM
rw array attached to the Spatial Decoder. All buffer add~ses are acquired MOD this buffer size and so will wrap round within the DRAM
provided.
tdb base 18 X These registers point to the base of the rw coded data (cbd) and Token (tb) buffers.
tb base cdb length 18 X These registers specify the length (i.e. size) of the rw coded data (cdb) and Token (tb) buffers.
tb_length cbd read 18 X These registers hold an offset from the buffer base ro and indicate where data will be read from ne%~
tb_read cdb_number 18 X These registers show how much data is recently ro held in the buffers.
tb_number cdb_full 1 X These registers will be set to 1 if the coded data ro (cdb) or Token (tb) buffer tb_full cdb_empty 1 X These registers will be set to 1 if the coded data ro (cdb) or Token (tb) buffer empties.
tb_empty Table A.13.1 Buffer manager registers (contd) 21~5156 288 A.13.~ 1 Buffer manager pointer values Typically, data is transferred between the Spatial Decoder and the off_chip DRAM in 64 byte bursts (using the DRAM's fast page mode). All the buffer pointers and length 5 registers refer to these 64 byte (512 bit) blocks of data.
So, the buffer manager's 18 bit registers describe a 256 k block linear address space (i.e., 128 Mb).
The 64 byte transfer is independent of the width (8, 16 or 32 bits) of the DRAM interface.
lo A.13.2 Use of the buffer manager registers The Spatial Decoder buffer manager has two sets of registers that define two similar buffers. The buffer limit register (buffer_limit) defines the physical upper limit of the memory space. All addresses are calculated 15 modulo this number.
Within the limits of the available memory, the extent of each buffer is defined by two registers: the buffer base (cdb_base and tb_base) and the buffer length (cdb_length and tb_length). All the registers described thus far must 20 be configured before the buffers can be used.
The current status of each buffer is visible in 4 registers. The buffer read register (cdb_read and tb_read) indicates an offset from the buffer base from which data will be read next. The buffer number registers (cdb_number 25 and tb_number) indicate the amount of data currently held by buffers. The status bits cdb_full, tb_full, cdb_empty and tb_empty indicate if the buffers are full or empty.
As stated in A.13.1.1, the unit for all the above mentioned registers is a 512 bit block of data.
30 Accordingly, the value read from cdb_number should be multip1ied by 512 to obtain the number of bits in the coded data buffer.
A.13.3 Zero buffers Still picture applications (e.g., using JPEG) that do ~ ` 214S1~6 not ha~e~a "real-time" requirement will not need the large off-chip buffers supported by the buffer manager. In this case, the DRAM interface can be configured (by writing 1 to the zero_buffers register) to ignore the buffer manager to provide a 128 bit stream on-chip FIFO for the coded data buffer and the Token buffers.
The zero buffers option may also be appropriate for applications which operate working at low data rates and with small picture formats.
Note: the zero_buffers register is part of the DRAM
interface and, therefore, should be set only during the post-reset configuration of the DRAM interface.
A.13.4 Buffer operation The data transfer through the buffers is controlled by a handshake Protocol. Hence, it is guaranteed that no data errors will occur if the buffer fills or empties. If a buffer is filled, then the circuits trying to send data to the buffer will be halted until there is space in the buffer. If a buffer continues to be full, more processing stages "up steam" of the buffer will halt until the Spatial Decoder is unable to accept data on its input port.
Similarly, if a buffer empties, then the circuits trying to remove data from the buffer will halt until data is available.
As described in A.13.2, the position and size of the coded data and Token buffer are specified by the buffer base and length registers. The user is responsible for configuring these registers and for ensuring that there is no conflict in memory usage between the two buffers.

- 21451~i6 SECTI~ A.14 Video Demux The Video Demux or Video parser as it is also called, completes the task of converting coded data into Tokens started by the Start Code Detector. There are four main processing blocks in the Video Demux: Parser State Machine, Huffman decoder (including an ITOD), Macroblock counter and ALU.
The Parser or state machine follows the syntax of the coded video data and instructs the other units. The Huff~an decoder converts variable length coded (VLC) data into inte-~ers. The Macroblock counter keeps track of which section of a picture is being decoded. The ALU performs the necessary arithmetic calculations.
A.14.1 Video Demux registers Regis:er name ~ ~ Description _j demux_access 1 0 This access bit stops the opefason o~ the Video Cemux so ~uha~ t s CED_H_CT;~Lr~ rw vanous registers can be accessed reliably. See A.6.4.1 huttman_error_code 3 When the,Vdeo Demux stops tollowing the genera~,on of a CED_H_CTRLf6.41 ro huttman_event interrupt request this 3 bit register holds a va,~e ,ncicating why the interrupt was generated. See A.14.5.1 parser_error_code 8 When the Vldeo Demux stops ~ollowing the generation of a rarser_event C'D_H_DMUX_'RR ro internupt recuest this 8 bit register holds a value indica3ng why t,~le internupt was generated. See A.14.5.2 Cemux_keyhole_address 12 x Keyhole access to the Video Demux's extended address scace. See C-!:7_H_I~EYHOLE_ADDR rw A.6.4.3 ~or more intormation about accessins reS s.e~s demux_keyllole_rtata 8 x through a keyhole.
CED_H_I~EYHCLE rw Tables A.14.2, A.14.3 and A.14.4 describe the re~istersb~,at carl fx accessed via the keyhole.
Table A.14.1 Top level Video Demux registers - 214~1~6 tsi P~eg L~. ame ~3 u~ Descrip~ion U~
dummy_last_picture 1 0 When this regls~er Is set ;o 1 Ihe Video Cenr.ux wlll genera:e informaucn CE3-H-ALu-RE5o tw ~or a ~dummy^ Intra plcture as :he last pic ure ot an MpEG seouence.
r_rom_conrrol This ~unction is useful when the Temporal DecodeMs configured ~or automatic picture re-otdering ~see A.18.3.5 P*-ure sequence re-r_ dummy_ last- frame_ bit ordering to nush the last P or I picture out oi tre Ter .potal Oecoden No ~dummy~ picture is recuired it:
the Temporal Decoder is not configured /or re~rdering another MpEG sequence wlll be decoCed immeciately (as his Will also nush out the last picture) the coding standard is not MPEG
tield_into 1 0 When this register is set to 1 the first byte ot any MpEG
CE3-H-ALu-ReEGo rw extra_in~ormation_picture is placed in the FIELD_INFO Token. See A.14.7.1 r_rom_control r_tield_into_bil continue 1 O rhis register allows user software to control how mucn extra. user or CeED_H_ALU_REGO rw extension data it wants to recerve when is it is ~etected by the decoder.
r_rom_control See A.14.6 and A.14.7 r_continue_bit rom_revision 8 Immediately tollowing reset this holds a copy oMu~e micrococe RCM
CE3-H-ALu-REG 1 revision number.
r ~orn revlsion This register is also used to pre5ent :o control sotrware data vaiues reaC
Irom the coded data. See A. 14.6 Receiving User and Ex~ersicn aa:a-and A.14.7 Receiving Ex~a Inlor~ation Table A.14.1 Top level Video Demux registers (contd) 214~1~6 Register name ~ tn Description tn hutlman_eve~t 1 0 A Hu~man evenl is generated il an error is lound in the coded ca:a. See rw A.14.5.1 ~or a d~-~vOon ol these events.
hut~man mask 1 0 1~ the mask register is set to 1 then an interruDI can be genetaled and he rw Video Demux will stop. Il the mask register is se~ lo O then no in~erru~ s generated and the Video Demux will atterrpt o rec^ver !rom ~e er er.
parser_event 1 û A Parser event can oe in responce to errors In the cocea ~a a cmo :ne rw arrival ol in~ommation at the Video Demux that recuires So~vare parser-mask 1 û intervention. See A.14.52 ~or a CescnDbon o~ t~ese evems.
rw 1~ the mask register is set to 1 then an interrup~ can ee generateC arc he Video Demux wiil stop. I~ the mask register is set :o O then no interrUDI s generated and the Video Demux wlll attempt lo continue Table A.14.1 Top level Video Demux registers ~contd) 6i Register name ci ~i Desc~iption component_name_O 8 x During JPEG operaOon the register component_name_n holds an 8 eit value component_name_1 rw indicating (to an lr~ ~n) which colour co vont! l has the component IC m component_name_2 component_name_3 hori _pels 16 x These registers hold the horRontal and vertical dimens;ors ol the viaeo Delng rw decoded in pixels.
ven_oels 16 x See section A14.2 rw ho~u_macrot~ilocks 16 x These ~egisters hold the honzontal and venical dimensions ot the v~ceo teinS
rw decoded in mactoClockS
ven_macrot~locks 16 x rw See section ~14.2 Table A.14.2 video demux picture construction registers ~ 2145156 Register name O O Description r~ r max_h 2 x These regtster5 hold the I,a~-oDlocl~ Wldth and heic~ht In blocks (3 x 3 plxels~.
rw The values O to 3 indicate a ~: `~.c.~i .t o~ 1 to 4 blocks.
max_v 2 x See secaon A.14.2 rw max_cG.."~onent_id 2 x The values O to 3 indicate that 1 to 4 di~erent video co".~cne, it~ are cunat6tt~
r~v being decoded.
See section A.14.2 Nt 8 x During JPEG operaaon this register holds the pararneter Nt (numDer ol image rw c.,."ponc.,t in ~rame) blocks_h_O 2 x For each o~ th- 4 colour co~"~onenb- Ihe regislers blocks_h_n and blocks_h_1 rw blocks_v_n hold the number o~ blocks hor,~o,iI.. lly and vert,cally in a blocks_h_2 " ,ac. r bl~t~ for the colour cr.. pon_.. l with co.. ,poncnt I D n.
blocks_h_3 See secaon A.14.2 blocks_v_O 2 x blocks_v_1 rw btocks_v_2 blocks_v_3 tQO 2 x The two bit value held by the register tq_n descnt)es whicn Inverse tQ1 rw Cuantisaaon table is to be used when decoding data with component ID n, tQ2 Table A. 14 . 2 Video demux picture construction regi~sterst (contd) " ~145156 A.14.1~1 Register loading and Token g-neration Many of the registers in the Video Demux hold values that relate directly to parameters normally communicated in the coded picture/video data. For example, the horiz_pels register corresponds to the MPEG sequence header information, horizontal_size, and the JPEG frame header parameter, X. These registers are loaded by the Video Demux when the appropriate coded data is decoded. These registers are also associated with a Token. For example, the register, horiz_pels, is associated with Token, HORIZONTAL_SIZE. The Token is generated by the Video Demux when (or soon after) the coded data is decoded. The Token can also be supplied directly to the input of the Spatial Decoder. In this case, the value carried by the Token will configure the Video Demux register associated with it.

~- 21~5156 Re9ist~e ~ O O~s~ .ption _; .

dc_hut1_0 2 The two bit value held by Ihe regiSIer dc_hun_n Cescrlbes v~hlch Hu~man dc_hun_1 rw decoding table is lo be used when decocing the DC ;oe~cients o~ r!ata w U
dc_hutt_2 co . l ono. t IO n~
ac-hun-3 Similarly ac_hutt_n descnbes Ihe lable to t~e used wnen c!ecodir g AC
ac_hun 0 2 ic ,l,.
ac_huti_1 rw Baseline JPEG recuires up to two Huitman tables per scan. ~he oriy tables ac_huti_2 ; ~ o ~ i are 0 and 1.
ac_hut~_3 dc_bits_0~15:0] 8 Each oi Ihese is a table ol 16. eight bit values. They prov~Ce the 5rl S
dc_bits_1[15:0] rw il-~O. -h (see JPEG Huftman lable cue ;"ca~;o ) which torm par: oi the ac_bits_0(15:0] 8 dcso-;~tion oi two DC and two AC Hu~man tables.
ac_bits 1l15:0] rw See section A.14.3.1 Cc_hunval_0~11:0] 8 Each o~ these is a table of 12 eighl ba values. They provide the t~UFFVAL
dc_hunval_1[11:0] rw i fulll dt;on (see JPEG Huffman table 5~-;!;. at;on) which ~orm part o~ the dr~ ;~JIion o~ two OC Hut~man lables.
See s-ction A.14.3.1 ac_huftval_0[161:0] 6 Eacholtheseisatableotl62 eighlbitvalues.TheyprovidetheHUFFVAL
ac_hunva~ 161:0] rw ; ~u ~ ~ (see JPEG Huffman table ~pe~ir ~ion) which torm pan o~ he d~ ;~,tion oi two AC HuUman tables.
See section A.14.3.1 dc_zssss_0 8 These 8 bit registers hold values that are special cased to accelera~ethe dc_zssss_1 rw de~coding oi certain irequently used JPEG VLCs.
~c_eot~_0 8 dc_ssss - magnitude o~ OC coe~lcient is 0 ac_eob_1 rw ac_eob end oi block ac_2rl_0 8 ac_zrl run oi 16 zeros ac_zrl_1 rw Table A.14.3 Video demux Huffman t~ble regi~ters ~ ` 2145156 ~sgister na~ne ~ ~ ~escriolion butter_si~e 10 This register is loaaed when decoding MPEG da~a with a value ~nC~caDng lne rw size ot VBV buner required in an iOeal decoder.
This value is not used by the decoder chips. However ~e value it holds may be useful to usef SP~ware when configuring the coCed Cata bu~er size and lo detemine whether the decoder is capable ol decoding a panicular ~lP_G data file.
pel_aspect 4 This register is loaded when decoaing MPEG data wlrh a value In~icatJrg V~e rw pel aspect rabo. The va,ue is a 4 bit integer thal is used as an inde~ into a table defined by MpEG~
See the MPEG standard tor a d-finition of this table.
This va,ue is not used by th- decoder chips. However ;he value it hol,~s may be usetul to user so~tware when configuring a display or output Cev!ce.
bit_rate 1~ This register is haded when aecoaing MPEG dala With a value inc ca l-g ~.e rw coded data rate.
See tne MPEG standard tor a definibon of Ihis value ThiS va,3ue is not us ct by the decoder chips. However the value it holds ,nay b- usehl to u#r So~V~re when configuring the decoder stan-uP regis ers.
pic_rate 4 This register is baded when decorting MPEG data with a value indica~ing !he rw picturerate.
See the MPEG standard ~or a definition ot this value.
This va,lue is not used by the decoder chips. However the value iu'r.olcs rriay be usehl to user sottware when configuring a display or output devlce.
cor,s~. ~ed 1 This register is haded when decoding MPEG data to indicate it the coced data rw rneets MPEG's cor.,3_ I_d pa,.-."~
See tne MPEG standard tor a definition ol this nag This va,lue is not used by the decoder chips. However the value it hlcs ~7 a~
be uselul to user sottware to delemine whether the decoder is ca~z~le R~
decoding a panicultr MpEG data file.
Table A.14.4 Other Video Demux registers ~` 214515;~

. . ~.

Registername ~ , Q ~"t~on picture_typ- 2 Ouring MPEG operaoon this reglster holds the piclure type of ~e ~icture being rw decoded.
h_261_pic_type 8 This register is loaded when Cecoding H.261 data. I~ ~olcs in~orrraoon a~out rw the picture format.
17161514131211~01 ¦ r ¦ r ¦ s ¦ d ¦ ~ ¦ q ¦ r ¦ r ¦
Flags:
s Split Screen Indicator d Document Camera ~- Freeze Picture Release This value is not used by t~e decoder chips. However, the iniorrnaoon should be used when configuring horiz_p Is, vert_pels and t~e display or output device.
broken_closed 2 Ouring MPEG operation tha register hold5 the broken_link and closedsop rw ;"~.. ", ' ~ ~or the group of pictures being decoded.
I 7 1 6 1 5 1 4 1 3 1 2 1 1 1 o 1 1 1 1 1 1 1'1~1 Flags:
C ~ ciosed50p Table A.14.4 Other Video Demux regi~ter~ (contd) ~ 2145156 Regist-r name ~ n ~ ~ iu~
~ rr preclction_mode S During MPEG ~nd H 2610p~ralion Ihis register hOldS the current value ol rw prediction mode 1716151413121 1 lûl ¦ ~ ¦ r ¦ r ¦ h ¦ y ¦ x ¦ b ¦ ~ ¦
Flags h - enable H 261 loop filter y reset back~vud v clor prediction vbv_delay 16 This register is loaded when d coding MPEG dala with a value indicating L~le rw minimum stan-up delay be~ore decoding should stan See the MPEG stuldard tor a definiaon ol Ihis value This value is not used by th- decoder chips However he value it holds may be useh~l to user Sonwa e when con6guring the decoder stan-up registers pic_number 8 This Ngister holds the picture number lor the pictures that is currently being rw decoded by th- Video Oemux This number was generated by the stan code d-tector when this picture arriv-d there See Table A 11 2 tor a ~ ~ L ) o~ the picture number dummy_last_plcture 1 0 Th~ registers are also visble at the top ~vel See Table A 14 1 rw fi-ld_lnfo 1 0 rw continu- 1 0 rw rom_revision 8 rw coding_standard 2 This register is loaded by the CODING STANDARD Token to cor~iSure ro the Video Oemux s mode o~ operation See secaon A 21 1 Table A.14.4 Other Video Demux reg;:.t~rs (contd) ~, ` 2145156 Register nam- g u~ Description resurt_intuval 6 This register is loaded when decoding JPEG data Wlth a value Indicatlng ~he rw minimum stan-uP delay belore deccoing should s:art.
See the MPEG standard for a deRnition o~ this value.

T~ble A.14.4 other Video Demux register~ (contd) register Token sUndard comment co.. ponert_nam-_/7 COMPONENT_NAME JPEG in coded da~a.
MPEG no~ used in s~andard.
H.261 horiz_pels HORIZONTAL_SIZE MPEG in coded da~a.
ven_pels VERTICAL_SIZE JPEG
H.261 autv,,,~ica.lf derived ~rom pic~ure type.
horiz_,.. ac.. t~~' HORIZONTAL_MBS MPEG control sotrware must denve Irom ven_.,.u .t':E VERTICAL_MBS JpEG honzontalandverticalpicnJresi~e.
H.261 autulll -lly derived ~rom pic~ure type.
ma~ DEFINE_MAX_SAMPLING MPEG control so~rware must configure.
ma~_v Sampling structure is fixed ~y standard.
JpEG in coded data.
H.261 au~u,,,a~icall~ configure~ lor 4:2.6 video.

Table A.14.5 Register to Token cross reference ~ 2145156 register Tolren standard comment ma~_c: r :nc.. ~_ld MAX_COMP_ID MPEG control soltware musl configure.
Sampling structure is fixed by standard.
JPEG in coded data.
H.261 auto",at,.a~l1 configured lor 4:2:0 video.
tq_0 JPEG_TA8LE_SELECT JPEG in coded data.
tq_1 MPEG not used in standard.
H.261 tq_2 tq_3 block5-h-o DEFINE_SAMPLING MPEG control so~are must configure.
blocks_h_l Sampling structure is axed by blocks_h_2 standard.
blocks_h_3 JPEG in coded data.
H.261 a~ ti.al'l configured lor 4:2:0 blocks_v_0 video.
blocks_v_1 blocks_v_2 blocks_v_3 dc_hutt_0 in san header data JPEG in coded data.
dc_huft_1 MPEG_DCH_TABLE MPEG control sonware must configure.
H.261 not used in standard.
dc_hutt_2 dc_hutt_3 ac_hutt_0 in scan heade- data JPEG in coded data.
ac_hun_1 MPEG not used in standard.

ac_hun_2 ac _hut~_3 Table A.14.5 Regi~ter to Token cro~
reference ~contd) DEMANDES OU BREVETS VOLUMINEUX

LA PRÉSENTE PARTIE DE ~;r I I t DEMANDE OU CE 13REVET
COMPREND PLUS D'UN TOME.

CECI EST LE TOME DE

NO~E: Pou- Ies tomes addiffonels, veuillez sontacter le 8ureau canadien des brevets 2 ~

JUMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLICATION/PATENT CONTAINS MORE
THAN ONE VOLUME

THIS IS VOLUME l- OF ~ -NOTE: Fcr additional vclumes please c~ntact the Canadian Patent Office

Claims (3)

1. For use with a system having a plurality of processing stages:
a universal adaptation unit in the form of an interactive interfacing token for control and/or data functions among said processing stages, wherein said token is a FLUSH token for clearing buffers and resetting said system.
2. In a pipeline system having an input, an output and a plurality of processing stages between the input and the output, the improvement comprising:
an interactive metamorphic interfacing token, defining a universal adaptation unit for control and/or data functions among said processing stages, wherein said token is a FLUSH token for clearing buffers and resetting said system as it proceeds down system from input to output.
3. A system as recited in claim 2, wherein said FLUSH token variably resets said stages as said token proceeds down said pipeline from said input to said output, for accomplishing said resetting of said system.
CA002145156A 1994-03-24 1995-03-21 Spatial decoder and pipeline machine including same Abandoned CA2145156A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB9405914A GB9405914D0 (en) 1994-03-24 1994-03-24 Video decompression
GB9405914.4 1995-02-28
GB9504019A GB2288957B (en) 1994-03-24 1995-02-28 Start code detector
GB9504019.2 1995-02-28

Publications (1)

Publication Number Publication Date
CA2145156A1 true CA2145156A1 (en) 1995-09-25

Family

ID=26304579

Family Applications (13)

Application Number Title Priority Date Filing Date
CA002145159A Abandoned CA2145159A1 (en) 1994-03-24 1995-03-21 Method and apparatus for an inverse quantiser
CA002145158A Abandoned CA2145158A1 (en) 1994-03-24 1995-03-21 Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto
CA002145157A Abandoned CA2145157A1 (en) 1994-03-24 1995-03-21 Token technique in a pipelined video decompression system
CA002145156A Abandoned CA2145156A1 (en) 1994-03-24 1995-03-21 Spatial decoder and pipeline machine including same
CA002145225A Abandoned CA2145225A1 (en) 1994-03-24 1995-03-22 Token technique in a pipelined video decompression system
CA002145222A Expired - Lifetime CA2145222C (en) 1994-03-24 1995-03-22 Multistandard video decoder and decomposition system for processing encoded bit streams including start codes and methods relating thereto
CA002145221A Abandoned CA2145221A1 (en) 1994-03-24 1995-03-22 System and apparatus for decoding variable-length video data and methods relating thereto
CA002145224A Abandoned CA2145224A1 (en) 1994-03-24 1995-03-22 Apparatus for providing time delay to compressed video information and method relating thereto
CA002145220A Abandoned CA2145220A1 (en) 1994-03-24 1995-03-22 Decoder and video apparatus including token generator and methods relating thereto
CA002145223A Expired - Lifetime CA2145223C (en) 1994-03-24 1995-03-22 Huffman decoder
CA002145427A Abandoned CA2145427A1 (en) 1994-03-24 1995-03-23 Correction for overlapping of start codes during token generation in a data pipeline system
CA002145425A Expired - Lifetime CA2145425C (en) 1994-03-24 1995-03-23 Video parser and pipeline system including same and methods relating thereto
CA002145424A Abandoned CA2145424A1 (en) 1994-03-24 1995-03-23 Video formatting apparatus and decoder system and methods relating thereto

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CA002145159A Abandoned CA2145159A1 (en) 1994-03-24 1995-03-21 Method and apparatus for an inverse quantiser
CA002145158A Abandoned CA2145158A1 (en) 1994-03-24 1995-03-21 Multiple stage pipeline processor including reconfigurable processing stage for processing data having different standards and universal adaptation units and methods relating thereto
CA002145157A Abandoned CA2145157A1 (en) 1994-03-24 1995-03-21 Token technique in a pipelined video decompression system

Family Applications After (9)

Application Number Title Priority Date Filing Date
CA002145225A Abandoned CA2145225A1 (en) 1994-03-24 1995-03-22 Token technique in a pipelined video decompression system
CA002145222A Expired - Lifetime CA2145222C (en) 1994-03-24 1995-03-22 Multistandard video decoder and decomposition system for processing encoded bit streams including start codes and methods relating thereto
CA002145221A Abandoned CA2145221A1 (en) 1994-03-24 1995-03-22 System and apparatus for decoding variable-length video data and methods relating thereto
CA002145224A Abandoned CA2145224A1 (en) 1994-03-24 1995-03-22 Apparatus for providing time delay to compressed video information and method relating thereto
CA002145220A Abandoned CA2145220A1 (en) 1994-03-24 1995-03-22 Decoder and video apparatus including token generator and methods relating thereto
CA002145223A Expired - Lifetime CA2145223C (en) 1994-03-24 1995-03-22 Huffman decoder
CA002145427A Abandoned CA2145427A1 (en) 1994-03-24 1995-03-23 Correction for overlapping of start codes during token generation in a data pipeline system
CA002145425A Expired - Lifetime CA2145425C (en) 1994-03-24 1995-03-23 Video parser and pipeline system including same and methods relating thereto
CA002145424A Abandoned CA2145424A1 (en) 1994-03-24 1995-03-23 Video formatting apparatus and decoder system and methods relating thereto

Country Status (5)

Country Link
JP (16) JP3302526B2 (en)
KR (1) KR950033895A (en)
CN (1) CN1174315C (en)
CA (13) CA2145159A1 (en)
GB (1) GB2288957B (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8284844B2 (en) 2002-04-01 2012-10-09 Broadcom Corporation Video decoding system supporting multiple standards
JP4143907B2 (en) 2002-09-30 2008-09-03 ソニー株式会社 Information processing apparatus and method, and program
CN100382565C (en) * 2002-12-04 2008-04-16 Nxp股份有限公司 Method and apparatus for selecting particular decoder based on bitstream format detection
CN1717939A (en) * 2003-02-19 2006-01-04 松下电器产业株式会社 Picture decoding apparatus, picture encoding apparatus, and method thereof
US7760949B2 (en) 2007-02-08 2010-07-20 Sharp Laboratories Of America, Inc. Methods and systems for coding multiple dynamic range images
US8139601B2 (en) * 2007-07-06 2012-03-20 Xmos Limited Token protocol
PL2518963T3 (en) 2007-09-18 2015-10-30 Lg Electronics Inc Method and system for transmitting and receiving signals
BR112014008734B1 (en) 2011-10-11 2021-11-30 Telefonaktiebolaget Lm Ericsson (Publ) METHOD FOR DETECTING A CHANGE OF SCENE IN A VIDEO TRANSMITTED IN CONTINUOUS STREAM, NON-TRANSITORY COMPUTER-READable MEDIUM AND RELATED DEVICE
CN106297631B (en) * 2016-08-30 2019-06-04 南京巨鲨显示科技有限公司 A kind of display and its error correction method with curve data error correction
US9666307B1 (en) * 2016-09-14 2017-05-30 Micron Technology, Inc. Apparatuses and methods for flexible fuse transmission
CN109491640B (en) * 2019-01-22 2023-08-01 上海艾为电子技术股份有限公司 Temperature detection device and temperature detection method
CN110350922A (en) * 2019-07-18 2019-10-18 南京风兴科技有限公司 A kind of binary-coded addressing method and addressing device
CN111208867B (en) * 2019-12-27 2021-08-24 芯创智(北京)微电子有限公司 DDR (double data Rate) read data integer clock cycle-based synchronization circuit and synchronization method
CN111312309B (en) * 2020-01-10 2023-05-02 电子科技大学 Circuit structure for improving read-write times of ferroelectric memory
CN111722581B (en) * 2020-05-28 2021-10-22 国电南瑞科技股份有限公司 Method for improving communication transmission and data processing efficiency of PLC and upper computer
CN113095015A (en) * 2021-05-08 2021-07-09 中国科学院上海微系统与信息技术研究所 SFQ time sequence circuit comprehensive calculation method, system and terminal

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6046585B2 (en) * 1979-03-06 1985-10-16 株式会社リコー Serial data transmission method
DE69229338T2 (en) * 1992-06-30 1999-12-16 Discovision Ass Data pipeline system
US5325092A (en) * 1992-07-07 1994-06-28 Ricoh Company, Ltd. Huffman decoder architecture for high speed operation and reduced memory
US5351047A (en) * 1992-09-21 1994-09-27 Laboratory Automation, Inc. Data decoding method and apparatus
US5699460A (en) * 1993-04-27 1997-12-16 Array Microsystems Image compression coprocessor with data flow control and multiple processing units

Also Published As

Publication number Publication date
JPH08237654A (en) 1996-09-13
JPH08228345A (en) 1996-09-03
JPH08322045A (en) 1996-12-03
JP3302540B2 (en) 2002-07-15
CA2145427A1 (en) 1995-09-25
JPH08228347A (en) 1996-09-03
JPH08316838A (en) 1996-11-29
CA2145159A1 (en) 1995-09-25
JPH0870452A (en) 1996-03-12
GB2288957A (en) 1995-11-01
CA2145158A1 (en) 1995-09-25
CA2145224A1 (en) 1995-09-25
JP2002142219A (en) 2002-05-17
JPH08116261A (en) 1996-05-07
CA2145222A1 (en) 1995-09-25
JP3302537B2 (en) 2002-07-15
JP3302539B2 (en) 2002-07-15
JP3174996B2 (en) 2001-06-11
JP2003078914A (en) 2003-03-14
JPH08322044A (en) 1996-12-03
CA2145223C (en) 1999-10-05
CA2145221A1 (en) 1995-09-25
CA2145424A1 (en) 1995-09-25
CA2145225A1 (en) 1995-09-25
JP3302538B2 (en) 2002-07-15
CA2145157A1 (en) 1995-09-25
JPH08228348A (en) 1996-09-03
CA2145425A1 (en) 1995-09-25
JPH08228346A (en) 1996-09-03
CN1174315C (en) 2004-11-03
GB9504019D0 (en) 1995-04-19
CA2145223A1 (en) 1995-09-25
CA2145222C (en) 2002-09-10
KR950033895A (en) 1995-12-26
JPH08279763A (en) 1996-10-22
JPH08228344A (en) 1996-09-03
JP3302526B2 (en) 2002-07-15
CN1133534A (en) 1996-10-16
CA2145425C (en) 2002-10-01
JP2002135778A (en) 2002-05-10
CA2145220A1 (en) 1995-09-25
JP3170744B2 (en) 2001-05-28
GB2288957B (en) 1998-09-23
JPH08228343A (en) 1996-09-03

Similar Documents

Publication Publication Date Title
US5828907A (en) Token-based adaptive video processing arrangement
EP0674446A2 (en) Reconfigurable data processing stage
US20020066007A1 (en) Multistandard video decoder and decompression system for processing encoded bit streams including pipeline processing and methods relating thereto
US6119213A (en) Method for addressing data having variable data width using a fixed number of bits for address and width defining fields
CA2145549C (en) Multi-standard configuration
CA2145156A1 (en) Spatial decoder and pipeline machine including same
KR100304511B1 (en) Video restoration and decoding system
GB2293076A (en) Video decoding and time synchronisation

Legal Events

Date Code Title Description
EEER Examination request
FZDE Dead