ATE324341T1 - Mehrkammerreaktor mit zwei entlüftungs-/kühl- schleusekammern - Google Patents

Mehrkammerreaktor mit zwei entlüftungs-/kühl- schleusekammern

Info

Publication number
ATE324341T1
ATE324341T1 AT01950859T AT01950859T ATE324341T1 AT E324341 T1 ATE324341 T1 AT E324341T1 AT 01950859 T AT01950859 T AT 01950859T AT 01950859 T AT01950859 T AT 01950859T AT E324341 T1 ATE324341 T1 AT E324341T1
Authority
AT
Austria
Prior art keywords
wafer
chamber
cooling
loadlock
bell portion
Prior art date
Application number
AT01950859T
Other languages
English (en)
Inventor
Andrew Clarke
Original Assignee
Tegal Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/609,733 external-priority patent/US6235656B1/en
Application filed by Tegal Corp filed Critical Tegal Corp
Application granted granted Critical
Publication of ATE324341T1 publication Critical patent/ATE324341T1/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Earth Drilling (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
AT01950859T 2000-07-03 2001-07-02 Mehrkammerreaktor mit zwei entlüftungs-/kühl- schleusekammern ATE324341T1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/609,733 US6235656B1 (en) 2000-07-03 2000-07-03 Dual degas/cool loadlock cluster tool
US09/798,048 US6562141B2 (en) 2000-07-03 2001-03-05 Dual degas/cool loadlock cluster tool

Publications (1)

Publication Number Publication Date
ATE324341T1 true ATE324341T1 (de) 2006-05-15

Family

ID=27086108

Family Applications (1)

Application Number Title Priority Date Filing Date
AT01950859T ATE324341T1 (de) 2000-07-03 2001-07-02 Mehrkammerreaktor mit zwei entlüftungs-/kühl- schleusekammern

Country Status (9)

Country Link
US (2) US6562141B2 (de)
EP (1) EP1303449B1 (de)
JP (1) JP5048198B2 (de)
KR (1) KR20020047143A (de)
CN (1) CN1193922C (de)
AT (1) ATE324341T1 (de)
DE (1) DE60119119T2 (de)
HK (1) HK1051992A1 (de)
WO (1) WO2002002445A1 (de)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69937255T2 (de) * 1998-11-20 2008-07-03 Steag RTP Systems, Inc., San Jose Schnell-aufheiz- und -kühlvorrichtung für halbleiterwafer
US6800172B2 (en) 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
SG115631A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
DE10323295B4 (de) * 2003-05-21 2009-04-16 Von Ardenne Anlagentechnik Gmbh Vakuumbeschichtungsanlage und Verfahren zur Beschichtung von Substraten
US20050037521A1 (en) * 2003-08-15 2005-02-17 Uwe Wellhausen Methods and apparatus for processing semiconductor devices by gas annealing
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100648261B1 (ko) * 2004-08-16 2006-11-23 삼성전자주식회사 웨이퍼 퓸을 효과적으로 제거할 수 있는 로드락 모듈
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP2008034740A (ja) * 2006-07-31 2008-02-14 Dainippon Screen Mfg Co Ltd ロードロック装置、それを備えた基板処理装置および基板処理システム
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
US20090194027A1 (en) * 2008-02-01 2009-08-06 Applied Materials, Inc. Twin-type coating device with improved separating plate
US8034691B2 (en) * 2008-08-18 2011-10-11 Macronix International Co., Ltd. HDP-CVD process, filling-in process utilizing HDP-CVD, and HDP-CVD system
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
KR101074083B1 (ko) 2009-07-27 2011-10-17 피에스케이 주식회사 로드락 챔버 및 이를 포함하는 기판 처리 설비, 그리고 상기 설비의 기판 처리 방법
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101739606B1 (ko) * 2009-11-17 2017-05-24 에바텍 어드벤스드 테크놀로지스 아크티엔게젤샤프트 기판을 프로세싱하기 위한 방법 및 장치
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20110269314A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Process chambers having shared resources and methods of use thereof
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
DE102012104013A1 (de) * 2012-05-08 2013-11-14 Schmid Vacuum Technology Gmbh Hochvakuumanlage und Verfahren zum Evakuieren
KR20160083084A (ko) 2013-11-04 2016-07-11 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
CN104746008B (zh) * 2013-12-30 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 去气腔室
US9453614B2 (en) * 2014-03-17 2016-09-27 Lam Research Corporation Systems and methods for cooling and removing reactants from a substrate processing chamber
JP6408904B2 (ja) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 真空引き方法及び真空処理装置
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
WO2016184487A1 (en) * 2015-05-15 2016-11-24 Applied Materials, Inc. Load lock chamber, vacuum processing system with load lock chamber and method for evacuating a load lock chamber
CN106298585B (zh) * 2015-06-03 2020-10-16 北京北方华创微电子装备有限公司 腔室及半导体加工设备
DE102015110711A1 (de) 2015-07-02 2017-01-05 Endress+Hauser Flowtec Ag MEMS Sensor zu Messung mindestens einer Messgröße eines strömenden Fluids
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
WO2021044622A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
JP7451436B2 (ja) 2020-02-14 2024-03-18 芝浦メカトロニクス株式会社 成膜装置及び成膜装置の水分除去方法
CN113265626B (zh) * 2020-02-14 2023-06-16 芝浦机械电子装置株式会社 成膜装置及成膜装置的水分去除方法
CN114875381B (zh) * 2022-05-13 2023-03-31 广东华升纳米科技股份有限公司 真空镀膜腔和真空镀膜设备

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4504194A (en) 1982-05-24 1985-03-12 Varian Associates, Inc. Air lock vacuum pumping methods and apparatus
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
KR900005610Y1 (ko) 1987-04-16 1990-06-28 이형곤 차압 2중 진공 씨스템
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
DE69304038T2 (de) * 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
US5345534A (en) * 1993-03-29 1994-09-06 Texas Instruments Incorporated Semiconductor wafer heater with infrared lamp module with light blocking means
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5520002A (en) 1995-02-01 1996-05-28 Sony Corporation High speed pump for a processing vacuum chamber
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5799860A (en) 1995-08-07 1998-09-01 Applied Materials, Inc. Preparation and bonding of workpieces to form sputtering targets and other assemblies
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5770515A (en) 1996-12-12 1998-06-23 Mosel Vitelic Incorporated Method of in-situ wafer cooling for a sequential WSI/alpha -Si sputtering process
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JPH11307479A (ja) * 1998-04-24 1999-11-05 Anelva Corp 高温リフロースパッタリング方法及び高温リフロースパッタリング装置
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool

Also Published As

Publication number Publication date
DE60119119T2 (de) 2007-01-04
US20030066606A1 (en) 2003-04-10
JP2004503099A (ja) 2004-01-29
KR20020047143A (ko) 2002-06-21
JP5048198B2 (ja) 2012-10-17
DE60119119D1 (de) 2006-06-01
EP1303449B1 (de) 2006-04-26
EP1303449A1 (de) 2003-04-23
CN1193922C (zh) 2005-03-23
WO2002002445A1 (en) 2002-01-10
US6562141B2 (en) 2003-05-13
HK1051992A1 (zh) 2003-08-29
US20020000194A1 (en) 2002-01-03
CN1386105A (zh) 2002-12-18
EP1303449A4 (de) 2004-09-22

Similar Documents

Publication Publication Date Title
ATE324341T1 (de) Mehrkammerreaktor mit zwei entlüftungs-/kühl- schleusekammern
JPS5478309A (en) Water cool panel for use in electric arc furnace
AU1666699A (en) Light with a disc-shaped light guide
TW349235B (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US20100277941A1 (en) Led headlight
KR930001337A (ko) 표면처리장치 및 표면처리방법
TW332304B (en) Improved gas diffuser plate assembly and RF electrode
CO5650157A2 (es) Catalizador para el tratamiento de compuestos organicos
TW200624578A (en) Deposition source and deposition apparatus including deposition source
AU2440488A (en) Process for the heat treatment of metallic workpieces in a vacuum furnace by gas quenching
TW350145B (en) Semiconductor light emitting device with high light emission efficiency
CA2377256A1 (en) Antenna apparatus for performing wireless communication or broadcasting by selecting one of two types of linearly polarized waves
ATE196712T1 (de) Bauelementträger mit luft-umwälzkühlung der elektrischen bauelemente
TW200640301A (en) Surface wave plasma processing apparatus
ATE400533T1 (de) Verglasungsofen und verfahren mit zwei heizeinrichtungen
DK153106C (da) Fremgangsmaade og indretning til at optimere varmeoverfoerslen af baereorganer for reversible, heterogene fordampningsprocesser
DK1570710T3 (da) Industriel mikrobölgeovn til termisk behandling af produkter og en af denne anvendt fremgangsmåde, især til at dræbe insekter i træ
DE10346070A1 (de) Kühlanordnung für eine Beleuchtungseinrichtung mit einem eine Streuscheibe aufweisenden Gehäuse
WO2003030246A3 (de) Vorrichtung zum verlöten von kontakten auf halbleiterchips
US20020079798A1 (en) Light irradiation part arrangement of a heating device
CN218426208U (zh) 磁吸附水冷平台
DE59308929D1 (de) Halterung zur partiellen Wärmebehandlung von Werkzeugen
CN221008936U (zh) 一种双面散热的封装设备
TW200726388A (en) Oblique radiator
CN218525547U (zh) 一种设置具有制冷水路的纳米压印及半导体设备

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties