KR20040099326A - 하드마스크 층에 사용하기 위한 반사방지 SiO 함유조성물 - Google Patents

하드마스크 층에 사용하기 위한 반사방지 SiO 함유조성물 Download PDF

Info

Publication number
KR20040099326A
KR20040099326A KR10-2004-7014412A KR20047014412A KR20040099326A KR 20040099326 A KR20040099326 A KR 20040099326A KR 20047014412 A KR20047014412 A KR 20047014412A KR 20040099326 A KR20040099326 A KR 20040099326A
Authority
KR
South Korea
Prior art keywords
layer
composition
radiation
hardmask
antireflective
Prior art date
Application number
KR10-2004-7014412A
Other languages
English (en)
Other versions
KR100910901B1 (ko
Inventor
페이퍼더크
앤젤로포울로스마리
바비치캐서리나
브록필립
후앙우-송
마호로왈라알판피
메데이로스데이비드알
수리야쿠마란라트남
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20040099326A publication Critical patent/KR20040099326A/ko
Application granted granted Critical
Publication of KR100910901B1 publication Critical patent/KR100910901B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0381Macromolecular compounds which are rendered insoluble or differentially wettable using a combination of a phenolic resin and a polyoxyethylene resin
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

발색단 부위 및 투명 부위를 갖는 SiO 함유 중합체가 존재한다는 것을 특징으로 하는 본 발명의 반사방지 조성물은 리쏘그래픽 공정에서 유용한 반사방지 하드마스크 조성물이다. 이러한 조성물은 매우 우수한 광학적 특성, 기계적 특성 및 에칭 선택비 특성을 제공하며, 동시에 스핀-온 도포 기법을 이용하여 도포 가능한 특성을 제공한다. 유리하게도, 본 발명의 조성물은 보다 짧은 파장 리쏘그래픽 공정에 유용하고/유용하거나, 최소 잔류 산 함량을 보유한다.

Description

하드마스크 층에 사용하기 위한 반사방지 SiO 함유 조성물{ANTIREFLECTIVE SiO-CONTAINING COMPOSITIONS FOR HARDMASK LAYER}
효과적인 리쏘그래픽 기법은 형상 크기의 감소를 달성시키는 데 필수적이다. 리쏘그래픽은 소정의 기판 상에 패턴을 직접적으로 이미지화시킨다는 측면에서 뿐만 아니라 그러한 이미지화에 전형적으로 사용된 마스크를 제조한다는 측면에서 마이크로스코픽 구조물의 제조에 영향을 미친다. 전형적인 리쏘그래픽 공정은 이미지화 방사선에 방사선-민감성 레지스트를 패턴 방식으로 노출시킴으로써 패턴화된 레지스트 층을 형성시키는 과정을 수반한다. 이어서, 이미지는 노출된 레지스트 층을 임의의 물질(전형적으로 수성 알칼리 현상액)과 접촉시킴으로써 현상시킨다. 이어서, 패턴은 패턴화된 레지스트 층의 개구부 내에 있는 그 물질을 에칭시킴으로써 이면 재료에 전사시킨다. 전사가 완료된 후, 잔류하는 레지스트 층은 제거한다.
일부 리쏘그래픽 이미지화 공정의 경우, 사용된 레지스트는 레지스트 이면에 있는 층으로 소정의 패턴을 효과적으로 전사시킬 수 있을 정도로 후속적인 에칭 단계에 대한 충분한 내성을 제공하지 못한다. 많은 실제 예(예를 들면, 초박막 레지스트 층이 필요한 경우, 에칭 처리하고자 하는 이면 재료가 두꺼운 경우, 상당할 정도의 에칭 깊이가 필요한 경우 및/또는 소정의 이면 재료에 특정한 부식제(etchant)를 사용하는 것이 필요한 경우)에서, 일명 하드마스크 층이라는 것은 레지스트 층과 패턴화된 레지스트로부터 전사에 의해 패턴화될 수 있는 이면 재료 사이에 중간체로서 사용한다. 그 하드마스크 층은 패턴화된 레스지트 층으로부터 패턴을 수용하고, 이면 재료로 패턴을 전사키는 데 필요한 에칭 공정을 견디어 낼 수 있어야 한다.
종래 기술에서는 많은 하드마스크 재료가 존재하긴 하지만, 개선된 하드마스크 조성물에 대한 요구가 지속되고 있다. 그러한 많은 종래 기술상 재료는 기판에 도포하기 어려우므로, 예를 들면 화학적 또는 물리적 증착, 특수 용매, 및/또는 고온 소성의 이용이 필요할 수 있다. 고온 소성에 대한 필요성 없이도 스핀-코팅 기법에 의해 도포될 수 있는 하드마스크 조성물을 갖는 것이 바람직하다. 추가로, 이면 포토레지스트에 선택적으로 용이하게 에칭될 수 있으며, 동시에 특히 이면 층이 금속 층인 경우 그 이면 층을 패턴화하는 데 필요한 에칭 공정에 내성이 있는 하드마스크 조성물을 갖는 것이 바람직하다. 또한, 적당한 저장 수명을 제공하고, 이미지화 레지스트 층과의 저해한 상호작용(예를 들어, 하드마스크로부터 산 오염에 의한 것)을 피하는 것도 바람직하다. 추가로, 보다 짧은 파장(예, < 200 nm)의 이미지 방사선에 대한 소정의 광학 특성을 지닌 하드마스크 조성물을 갖는 것이 바람직하다.
마이크로일렉트닉스 산업에서 뿐만 아니라 마이크로스코픽 구조물(예, 마이크로머신, 마그네토레지스트 헤드 등)의 제작을 비롯한 다른 산업에서, 구조적 형상의 크기를 감소시키고자 하는 지속적인 요구가 존재한다. 마이크로일렉트로닉스 산업에서, 마이크로일렉트로닉 디바이스의 크기를 감소시키고/시키거나, 주어진 칩 크기에 보다 많은 양의 회로를 제공하고자 하는 요구가 존재한다.
발명의 개요
본 발명은 리쏘그래픽 공정에서 유용하게 사용되는 신규한 반사방지 하드마스크 조성물을 포함한다. 이 조성물은 매우 우수한 광학적 특성, 기계적 특성 및 에칭 선택비(etch selectivity) 특성을 제공하고, 동시에 스핀-온 도포 기법(spin-on application technique)을 이용하여 도포 가능한 특성을 제공하는 것이 바람직하다. 또한, 상기 조성물은 우수한 저장 수명을 가지며, 최소이거나 전혀 없는 산 오염물질 함량을 갖는다. 이 반사방지 하드마스크 조성물은 발색단 부위 및 투명 부위를 갖는 SiO 함유 중합체가 존재한다는 것을 특징으로 한다. 또한, 본 발명은 본 발명의 하드마스크 조성물을 사용하여 기판 상의 이면 재료 층을 패턴화시키는 방법을 포함한다. 또한, 본 발명은 레지스트 층과 하드마스크 층의 패턴화된 조합물과 같은 리쏘그래픽 구조를 포함한다.
한 양태에서, 본 발명은 스핀-온 반사방지 하드마스크 층의 형성에 적합한 조성물을 포함하며, 상기 조성물은
(a) 발색단 부위 및 투명 부위를 갖는 SiO 함유 중합체,
(b) 가교 성분, 및
(c) 산 생성제(acid generator)
를 포함한다.
SiO 부위는 실록산 부위 및 실세스퀴옥산 부위로 이루어진 군 중에서 선택되는 것이 바람직하다. SiO 부위는 중합체의 골격 부분 내에 존재하는 것이 바람직하다. 또한, SiO 함유 중합체는 가교 성분과 반응하는 중합체를 따라 분포된 다수의 반응성 부위를 함유하는 것이 바람직하다. 산 생성제는 열 활성화된 산 생성제인 것이 바람직하다. 투명 부위는 소정의 이미지화 방사선에 대하여 실질적으로 투명한 벌크(C2이상)한 부위 또는 불소 함유 부위인 것이 바람직하다.
또 다른 양태에서, 기판 상에 패턴화된 재료 형상을 형성시키는 방법을 제공하며, 상기 방법은
(a) 기판 상에 재료 층을 제공하는 단계,
(b) 재료 층 위로 본 발명의 반사방지 하드마스크 층을 형성시키는 단계,
(c) 반사방지 층 위로 방사선-민감성 이미지화 층을 형성시키는 단계,
(d) 이미지화 층을 방사선에 패턴 방식으로 노출시킴으로써 이미지화 층 내에서 방사선-노출된 영역의 패턴을 생성시키는 단계,
(e) 이미지화 층 및 반사방지 층의 부분을 선택적으로 제거하여 재료 층의 부분을 노출시키는 단계, 및
(f) 재료 층의 노출된 부분을 에칭함으로써 패턴화된 재료 형상을 형성시키는 단계
를 포함한다.
패턴화하고자 하는 재료는 전도성, 반전도성, 자성 또는 절연성 재료인 것이바람직하고, 금속인 것이 보다 바람직하다. SiO 부위는 중합체의 골격 부분내에 존재하는 것이 바람직하다. 또한, SiO 함유 중합체는 가교 성분과 반응하는 중합체를 따라 분포된 다수의 반응성 부위를 함유하는 것이 바람직하다.
본 발명의 이러한 양태 및 다른 양태는 이하의 보다 상세한 설명에서 논의된다.
발명의 상세한 설명
본 발명은 리쏘그래픽 공정에서 유용하게 사용되는 신규한 반사방지 하드마스크 조성물을 포함한다. 이 반사방지 하드마스크 조성물은 발색단 부위 및 투명 부위를 갖는 SiO 함유 중합체가 존재한다는 것을 특징으로 한다. 또한, 본 발명은 본 발명의 반사방지 하드마스크 조성물을 사용하여 기판 상의 이면 재료 층을 패턴화시키는 방법을 포함한다. 또한, 본 발명은 레지스트 층과 하드마스크 층의 패턴화된 조합물과 같은 리쏘그래픽 구조를 포함한다.
본 발명의 반사방지 하드마스크 조성물은 일반적으로
(a) 발색단 부위 및 투명 부위를 갖는 SiO 함유 중합체,
(b) 가교 성분, 및
(c) 산 생성제
를 포함한다.
SiO 함유 중합체는 그 골격 내에 SiO 부위를 함유하는 것이 바람직하다. 중합체는 유기실록산인 것이 바람직하고, 유기실세스퀴옥산인 것이 보다 바람직하다. 중합체는 종래의 스핀-코팅에 의해 층을 형성시키는 데 도움이 되는 용액 및 막 형성(film-forming) 특성을 가져야 한다.
일반적으로, 중합체는 하기 (I) 내지 (III) 중에서 선택된 구조를 갖는 하나 이상의 단량체를 함유하는 것이 바라직하다.
(I)
(II)
(III)
상기 식 중, x는 약 1 내지 약 1.5이고, R1은 발색단 부위를 포함하며, R3은 투명 부위를 포함하고, R3은 가교 성분과 반응하는 반응성 부위를 포함한다. 선형 유기실록산 중합체의 경우, x는 동일하게 약 1이다. 유기실세스퀴옥산 중합체의 경우, x는 동일하게 약 1.5이다. 일부 실제 예에서, 다수의 작용성 부위(예, 반응성 기와 발색단)는 동일한 단량체 상에 존재할 수 있다. 일반적으로, 실세스퀴옥산 중합체는 매우 우수한 에칭 내성이라는 기초에서 보면 바람직하다. 일반적인 유기실록산 중합체를 사용하는 경우, 바람직하게도 가교도는 실세스퀴옥산을 주성분으로하는 제제와 비교하여 증가된다.
발색단 함유 기 R1은 (i) SiO 함유 중합체 상에 그라프트화될 수 있고, (ii) 적합한 방사선 흡수 특성을 가지며, (iii) 층 또는 임의의 이면 포토레지스트 층의 성능에 저해한 영향을 미치지 않은 임의의 적합한 발색단을 함유할 수 있다. 바람직한 발색단 부위에는 페닐, 크리센(chrysene), 피렌, 플루오르안트렌, 안트론, 벤조페논, 티오크산톤, 및 안트라센이 포함된다. 또한, 안트라센 유도체, 예컨대 미국 특허 제4,371,605호에 기재된 것들도 사용할 수 있는데, 그 특허의 개시내용은 본 명세서에 참고 인용되어 있다. 9-안트라센 메탄올은 바람직한 발색단이다. 발색단 부위는 페놀 티아진과 같은 가능한 탈활성화된 아미노 질소를 제외하고는 질소를 함유하지 않는 것이 바람직하다. 193 nm 방사선의 경우, 불포화 탄소 결합(예, 탄소-탄소 이중 결합)을 함유하는 비방향족 화합물도 적합한 발색단이다. 157 nm 방사선의 경우, 포화 탄소-탄소 결합을 함유하는 화합물은 발색단으로서 사용할 수 있다.
발색단 부위는 산-촉매화된 O-알킬화 또는 C-알킬화에 의해, 예컨대 프리델-크라프트 알킬화에 의해 SiO 함유 중합체에 화학적으로 결합될 수 있다. 대안으로, 발색단 부위는 에스테르화 메카니즘에 의해 결합될 수 있다. 프리델-크라프트 촉매작용에 바람직한 산은 HCl이다. 단량체 약 15-40%는 발색단 부위를 함유하는 것이 바람직하다. 일부 실제 예에서는, SiO 함유 중합체의 형성 전에 단량체에 발색단을 결합시키는 것이 가능하지만, 이는 일반적으로 바람직한 것이 아니다. 발색단의결합 부위는 히드록시벤질기 또는 히드록시메틸벤질기와 같은 방향족기인 것이 바람직하다. 대안으로, 발색단은 시클로헥산올 또는 다른 알콜과 같은 다른 부위와의 반응에 의해 결합될 수 있다. 발색단을 결합시키는 반응은 알콜의 OH기의 에스테르화인 것이 바람직하다.
R2투명 부위는 이미지화 방사선의 파장 또는 특성에 따라 달라질 수 있다. 193 nm 이미지화 방사선의 경우, 투명 부위는 실질적으로 불포화 탄소-탄소 결합을 함유하지 않은 벌크(C2이상)한 유기 부위인 것이 바람직하다. 193 nm에 바람직한 투명 부위는 에폭시-작용화된 실세스퀴옥산 단량체로부터 유도된 알콜 중에서 도포된다. 157 nm 이미지화 방사선의 경우, 투명 부위는 트리플루오로메틸기 또는 퍼플루오로알킬기와 같은 불소 함유 부위인 것이 바람직하다. 투명 부위의 양은 발색단의 양과 조절하여 에너지 흡수와 반사방지의 소정 조합을 제공하는 것이 바람직하다.
R3은 가교 성분과 반응하는 반응성 부위를 포함한다. R2내에 함유된 반응성 부위는 알콜인 것이 바람직하고, 방향족 알콜(예, 히드록시벤질 알콜, 페놀, 히드록시메틸벤질 알콜 등), 또는 고리지방족 알콜(예, 시클로헥사노일 알콜)인 것이 보다 바람직하다. 대안으로, 불소탄소 알콜, 지방족 알콜, 아미노기, 비닐 에테르 및 에폭사이드와 같은 비시클릭 알콜도 사용할 수 있다.
SiO 함유 중합체의 예에는 폴리(3-프로판올옥시프로필)실세스퀴옥산, 3-프로판올옥시프로필실세스퀴옥산과 페닐실세퀴옥산의 공중합체, 폴리(히드록시벤질)실세스퀴옥산과 폴리(1-히드록시-1-트리플루오로메틸에틸)실세스퀴옥산의 블렌드, 1-히드록시-1-트리플루오로메틸에틸실세스퀴옥산과 p-히드록시메틸벤질실세스퀴옥산의 공중합체가 포함된다.
본 발명의 SiO 함유 중합체는 가교 성분과 반응하기 전에 중량 평균 분자량이 약 1000 이상인 것이 바람직하고, 중량 평균 분자량이 약 1000-10000인 것이 보다 바람직하다.
가교 성분은 생성된 산에 의해 및/또는 가열에 의해 촉매작용화될 수 있는 방식으로 SiO 함유 중합체와 반응될 수 있는 가교제인 것이 바람직하다. 일반적으로, 본 발명의 반사방지 하드마스크 조성물에 사용된 가교 성분은 조성물의 선택된 다른 성분과 달리 상용 가능한 네가티브 포토레지스트 기술 분야에서 공지된 임의의 적합한 가교제일 수 있다. 가교제는 생성된 산의 존재 하에 중합체 성분을 가교시키는 작용을 하는 것이 바람직하다. 바람직한 가교제는 글리콜루릴(glycoluril) 화합물, 예컨대 테트라메톡시메틸 글리콜루릴, 메틸프로필테트라메톡시메틸 글리콜루릴 및 메틸페닐테트라메톡시메틸 글리콜루릴이며, 이들은 POWDERLINK 상품명 하에 Cytec Industries로부터 구입 가능하다. 다른 가능한 가교제에는 2,6-비스(히드록시메틸)-p-크레졸 화합물, 예를 들면 일본 공개 특허 출원(공개) 제1-293339호에 기재된 화합물, 에테르화된 아미노 수지, 예를 들면 메틸화되거나 부틸화된 멜라민 수지(N-메톡시메틸-멜라민 수지 또는 N-부톡시메틸-멜라민 수지), 및 메틸화된/부틸화된 글리콜루릴, 예를 들면 캐나다 특허 제1 204 547호에 기재된 화합물이 포함된다. 다른 가교제, 예컨대 비스에폭시 또는 비스페놀(예, 비스페놀-A)도 사용할수 있다. 가교제의 조합물도 사용할 수 있다.
산 생성제는 열 처리시 산을 방출하도록 사용되는 산 생성제 화합물인 것이 바람직하다. 다양한 공지된 열적 산 생성제는 예를 들어 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인 토실레이트, 2-니트로벤질 토실레이트, 및 유기 설폰산의 다른 알킬 에스테르 등을 사용하는 것이 바람직하다. 활성화시 설폰산을 생성하는 화합물은 일반적으로 적합하다. 다른 적합한 열적 활성화된 산 생성제는 미국 특허 제5,886,102호 및 제5,939,236호에 기재되어 있으며, 이들 2가지 특허의 개시내용은 본 명세서에 참고 인용되어 있다. 필요한 경우, 방사선-민감성 산 생성제는 열적 활성화된 산 생성제에 대한 대체물로서 사용하거나, 또는 열적 활성화된 산 생성제와의 조합물로서 사용할 수 있다. 적합한 방사선-민감성 산 생성제의 예는 미국 특허 제5,886,102호 및 제5,939,236호에 기재되어 있다. 또한, 레지스트 기술 분야에서 공지된 다른 방사선-민감성 산 생성제도 이것이 반사방지 조성물의 다른 성분과 상용성이 있는 한 사용할 수 있다. 반상선-민감성 산 생성제를 사용하는 경우, 조성물의 경화 (가교) 온도는 적당한 방사선을 가하여 결국 가교 반응을 촉매작용화하는 산 생성을 유도함으로써 감소시킬 수 있다. 방사선-민감성 산 생성제를 사용하는 경우라고 해도, 조성물을 열 처리하여 가교 공정(예를 들면, 제조 라인에서 웨이퍼의 경우)을 가속화시키는 것이 바람직하다.
본 발명의 반사방지 하드마스크 조성물은 (고체 기준상) (i) SiO 함유 중합체 약 59-98 중량%, 보다 바람직하게는 약 70-80 중량%, (ii) 가교 성분 약 1-50 중량%, 보다 바람직하게는 약 3-25 중량%, 및 (iii) 산 생성제 약 1-20 중량%, 보다 바람직하게는 약 1-15 중량%를 함유하는 것이 바람직하다.
본 발명의 반사방지 하드마스크 조성물은 리쏘그래픽 구조의 형성에서 임의의 소정 레지스트 재료와 조합하여 사용할 수 있다. 이 레지스트는 보다 짧은 파장의 자외선 방사선(예, < 200 nm 파장)에 의해 또는 전자빔 방사선에 의해 이미지화 가능한 것이 바람직하다. 적합한 레지스트 재료의 예는 미국 특허 제6,037,097호에 기재되어 있으며, 이것의 개시내용은 본 명세서에 참고 인용되어 있다.
본 발명의 반사방지 하드마스크 조성물은 전형적으로 소정의 기판에 그 조성물을 도포하기 전에 용매를 함유하는 것이 바람직하다. 그 용매는 달리 반사방지 조성물의 성능에 지나치게 저해한 영향을 전혀 미치지 않는 레지스트에 통상적으로 사용되는 임의의 용매일 수 있다. 바람직한 용매는 프로필렌 글리콜 모노메틸 에테르 아세테이트, 시클로헥산온, 및 에틸 락테이트이다. 기판에 도포하기 위한 조성물내 용매의 양은 고체 함량 약 -20 중량%를 달성하기에 충분한 것이 바람직하다. 보다 높은 고체 함량의 제제는 일반적으로 보다 두꺼운 코팅 층을 생성한다. 본 발명의 조성물은 해당 기술 분야에 알려져 있는 바와 같이 보조 성분(예, 염기 첨가제 등)을 미량으로 더 함유할 수 있다.
본 발명의 반사방지 하드마스크 조성물은 종래의 방법을 이용하여 중합체, 가교 성분, 산 생성제 및 임의의 다른 소정의 성분을 조합함으로써 제조할 수 있다. 본 발명의 조성물은 스핀 코팅에 의해 기판 상에 반사방지 하드마스크 층으로 형성시킨 후, 소성 처리하여 가교를 달성하고 용매를 제거할 수 있는 것이 유리하다. 소성은 약 250℃ 이하, 보다 바람직하게는 약 150-220℃에서 수행하는 것이 바람직하다. 소성 시간은 층 두께 및 소성 온도에 따라 달라질 수 있다.
본 발명의 반사방지 하드마스크 조성물의 두께는 소정의 기능에 따라 달라질 수 있다. 전형적인 도포의 경우, 본 발명의 조성물의 두께는 약 0.02-5.0 ㎛인 것이 바람직하고, 약 0.1-5.0 ㎛인 것이 보다 바람직하다. 필요한 경우, 또한 본 발명의 조성물은 종래의 스핀-온 유리 재료와 유사한 방식으로 유전체 재료로도 사용할 수 있다. 본 발명의 조성물은 유기 반사방지 층과 전형적으로 관련된 박막 두께에도 하드마스크로서 작용할 수 있을 정도로 레지스트 측부 에칭하는 것이 유리하다.
본 발명의 하드마스크 조성물은 반도체 기판 상에 집적 회로의 제조시 이용되는 리쏘그래픽 공정에 특히 유용하다. 그 조성물은 193 nm, 157 nm, EUV, x-선, e-빔 또는 다른 이미지화 방사선을 사용하는 리쏘그래픽 공정에 특히 유용하다.
반도체 리쏘그래픽 용도는 일반적으로 반도체 기판 상의 재료 층에 패턴을 전사하는 것을 포함한다. 반도체 기판의 재료 층은 금속 전도체 층, 세라믹 절연체 층, 반도체 층 또는 최종 제품을 위해 설정된 제조 공정 단계 및 소정의 재료에 따른 기타 재료일 수 있다. 본 발명의 조성물은 패턴화하고자 하는 재료 층의 위로, 바람직하게는 스핀-코팅에 의해 직접 도포하는 것이 바람직하다. 이어서, 본 발명의 조성물은 소성 처리하여 용매를 제거하고 그 조성물을 경화(가교)시킨다. 이어서, 방사선-민감성 레지스트 층은 본 발명의 경화된 반사방지 조성물 위로 (직접적으로 또는 간접적으로) 도포할 수 있다.
전형적으로, 용매 함유 레지스트 조성물은 스핀 코팅 기법 또는 다른 기법을이용하여 도포한다. 이어서, 레지스트 코팅을 지닌 기판은 열 처리(노출전 소성 처리)하여 용매를 제거하고 레지스트 층의 응집성을 개선시키는 것이 바람직하다. 도포된 층의 두께는 가능한 엷은 것이 바람직하며, 단 두께는 바람직하게는 실질적으로 균일해야 하고, 레지스트 층은 리쏘그래픽 패턴을 이면 기판 재료 층에 전사시키는 후속 공정처리(전형적으로 이온 에칭)를 견디어 낼 수 있을 정도로 충분해야 한다. 노출후 소성 처리 단계는 약 10초 내지 15 분 동안, 보다 바람직하게는 약 15초 내지 1 분 동안 수행하는 것이 바람직하다. 노출후 소성 온도는 포토레지스트의 유리 전이 온도에 따라 달라질 수 있다.
용매 제거후, 레지스트 층은 소정의 방사선(예, 193 nm 자외선 방사선)에 패턴 방식으로 노출시킨다. 전자빔과 같이 주사하는 입자 빔을 사용하는 경우, 패턴 방식의 노출은 기판을 가로질러 그 빔을 주사하고 선택적으로 그 빔을 소정의 패턴으로 가함으로써 달성할 수 있다. 보다 전형적으로, 193 nm 자외선 방사선과 같은 파형 방사선 형태를 사용하는 경우, 패턴 방식의 노출은 레지스트 층 위로 배치되는 마스크를 통해 수행한다. 193 nm UV 방사선의 경우, 총 노출 에너지는 약 100 밀리주울/cm2이하인 것이 바람직하고, 약 50 밀리주울/cm2이하(예, 15-30 밀리주울/cm2)인 것이 보다 바람직하다.
소정의 패턴 방식의 노출후, 레지스트 층은 전형적으로 소성 처리하여 추가로 산-촉매작용화된 반응을 완결하고, 노출된 패턴의 콘트라스트를 향상시킨다. 노출후 소성 처리는 약 60-175℃, 보다 바람직하게는 약 90-160℃에서 수행하는 것이바람직하다. 노출후 소성 처리는 약 30 초 내지 약 5 분 동안 수행하는 것이 바람직하다.
노출후 소성 처리를 수행한 후, 소정의 패턴을 지닌 레지스트 구조는 방사선에 노출된 레지스트의 영역을 선택적으로 용해시키는 알칼리 용액과 레지스트 층을 접촉시킴으로써 얻는다(현상시킨다). 바람직한 알킬리 용액(현상액)은 테트라메틸 암모늄 히드록사이드의 수용액이다. 이어서. 기판 상에 형성된 리쏘그래픽 구조는 전형적으로 건조시켜 잔류해 있는 임의의 현상액 용매를 제거한다.
이어서. 레지스트 구조로부터 유래한 패턴은 해당 기술 분야에 공지된 기법을 이용하여 CF4또는 다른 적합한 부식제로 에칭함으로써 본 발명의 반사방지 물질의 층의 노출된 부분에 전사시킬 수 있다.
본 발명의 반사방지 하드마스크 층의 개구부 이후, 패턴화하고자 하는 이면 재료는 재료 층 조성물에 적합한 부식제를 사용하여 에칭 처리할 수 있다. 재료 층이 금속(예, Cr)인 경우에는 건식 부식제로서 Cl2/O2의 조합물을 사용할 수 있다.
일단, 소정의 패턴 전사가 이루어진 후에는, 종래의 스트립핑 기법을 이용하여 잔류하는 임의의 레지스트를 제거할 수 있다. 본 발명의 조성물을 하드마스크로서 엄격하게 사용하는 경우, 본 발명의 조성물은 CF4/O2플라즈마와 접촉시킴으로써 제거할 수 있다.
따라서, 본 발명의 조성물 및 형성된 리쏘그래픽 구조는, 집접 회로 디바이스의 설계에서 사용될 수 있는 바와 같이, 금속 배선, 컨택트 또는 바이어스를 위한 홀, 절연 섹션(예, DT(damascene trench) 또는 STI(shallow trench isolation)), 커패시터 구조를 위한 트렌치 등과 같은 패턴화된 재료 층 구조를 형성시키는 데 사용할 수 있다. 본 발명의 조성물은 산화물, 질화물 또는 폴리실리콘의 패턴화된 층을 형성시키는 것과 관련하여 특히 유용하다.
본 발명의 조성물이 유용할 수 있는 일반적인 리쏘그래픽 공정의 예는 미국 특허 제4,855,017호, 제5,362,663호, 제5,429,710호, 제5,562,801호, 제5,618,751호, 제5,774,376호, 제5,801,094호, 제5,821,469호 및 제5,948,570호에 개시되어 있으며, 이들 특허의 개시내용은 본 명세서에 참고 인용되어 있다. 패턴 전사 공정의 다른 예는 문헌(Wayne Moreau, "Semiconductor Lithography, Principles, Practices, and Materials"의 제12장 및 제13장, Plenum Press(1988))에 기재되어 있으며, 이 문헌의 개시내용은 본 명세서에 참고 인용되어 있다. 본 발명은 임의의 특정 리쏘그래픽 기법 또는 디바이스 구조에 국한되는 것이 아님을 이해해야 한다.
실시예 1
(중합체 A)
실리콘 전구체 3-글리시독시프로필트리메톡시실란(47.26 g, 200 mmol)(Aldrich 제품)을 테트라히드로푸란(THF) 1000 ml 중에 용해시킨 후, THF와 1N HCl의 혼합물로 실온에서 가수분해시켰다. 이 반응 혼합물을 18 시간 동안 환류시켜 가수분해를 완결하였다. 실온으로 냉각시킨 후, 디에틸에테르 150 ml를 첨가하고, 수성 상을 유기 상으로부터 분리하여 버렸다. 유기 상을 염수(50 ml)로 2회세척하고, 황산마그네슘으로 건조시킨 다음, 진공 중에 용매를 제거하여 중합체를 투명한 점성 오일로서 잔류시켰다. 이 중합체를 진공 하에 건조시켰는데, 최종 생성량은 약 27 g이었다. 이 물질은 NMR 및 IR에 의해 특성화시켰는데, 에폭시 작용기가 알콜 작용기로 전환되었다는 것을 보여주었다.
(중합체 B)
실리콘 전구체 페닐트리메톡시실란(7.92 g, 40 mmol) 및 3-글리시독시프로필트리메톡시실란(37.82 g, 160 mmol)(양자는 모두 Adrich 제품임)을 중합체 A에 대하여 설명한 것과 동일한 방식으로 반응시켜서 최종 생성량이 약 25 g인 중합체를 얻었다.
(중합체 C)
폴리(히드록시벤질)실세스퀴옥산(PHBSQ-오하이오주 반달리아 소재의 DayChem Laboratories 제품)
(중합체 D)
폴리(1-히드록시-1-트리플루오로메틸에틸)실세스퀴옥산(TFASSQ)은 2000년 12월 21일자로 출원된 미국 특허 출원 Ser. No. 09/748071("Substantially Transparent Aqueous Base Soluble Polymer System For Use In 157 nm Resist Applications")의 절차에 따라 합성하였다.
실시예 2
제제
소정의 SiO 함유 중합체 성분을 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 중에 100 중량부의 농도로 용해시켰다. 이 용액에 가교제 테트라메톡시메틸 글리콜루릴(DayChem 제품)을 8 중량부의 농도로 첨가하고, 디(t-부틸페닐)요오도늄퍼플루오로부틸설포네이트(DtBPI-PFBuS)를 4 중량부의 농도로 첨가하여 총 고체 14 중량%를 얻었다.
실시예 3
막 형성 및 광학 특성
실시예 2에서 설명한 바와 같이 제조한 제제를 60 초 동안 3000 rpm으로 300 nm 규소 웨이퍼 상에 스핀 코팅 처리하였다. 막 두께는 약 2500Å이었다. 스핀 주조 막을 60 초 동안 200℃에서 경화시켰다. 광학 상수(193 nm에서 굴절율 n 및 소광 계수 k)는 n & k Technology, Inc.에 의해 제조된 n & k Analyzer를 사용하여 측정하였다.
193 nm 방사선의 경우 막의 광학 특성은 다음과 같았다.
막 형성 중합체 n k
중합체 A 1.656 0.006
중합체 B 1.726 0.390
중합체 C 1.556 0.000
주압체 C & D(1:1 중량/중량) 1.689 0.205
실시예 4
저장 수명 연구
중합체 B를 사용하여 실시예 2에서 설명한 바와 같이 제조한 제제를 2개의 배치(batch)로 분할하였다. 1개의 배치를 1개월의 기간에 걸쳐 -20℃에서 저장하였고, 나머지 다른 1개의 배치를 1개월의 기간에 걸쳐 40℃에서 저장하였다. 실시예3에서 설명한 방법으로 형성시킨 각각의 배치로부터 유래한 2개의 막의 반사 스펙트럼은 노화시키지 않은 제제와 동일한 것으로 확인되었다.
실시예 5
193 nm 리쏘그래피 및 하드마스크/반사방지 층의 에칭
하드마스크 층은 중합체 B를 사용하여 실시예 3에서 설명한 바와 같이 형성시켰다. PAR 715 아크릴계 포토레지스트(Sumitomo 제품)의 층을 경화된 하드마스크 층 위에서 두께 약 250 nm로 스핀 코팅하였다. 이 포토레지스트를 60 초 동안 130℃에서 소성 처리하였다. 이어서, 레지스트 층은 APSM 레티클을 사용하여 종래의 환형 조명 처리하면서 0.6 NA 193 nm Nikon Stepper를 사용하여 이미지화시켰다. 패턴 방식의 노출 후, 레지스트를 60 초 동안 130℃에서 소성 처리하였다. 이어서, 이미지는 상업용 현상제(0.26M TMAH)를 사용하여 현상시켰다. 형성된 패턴은 113.75 및 122.5 nm의 동일한 라인 및 공간 패턴을 나타내었다.
이어서, 패턴은 TEL DRM 툴을 이용하여 20초 불소탄소계 부식제에 의해 하드마스크 층 내로 전사시켰다. 포토레지스트와 하드마스크 간의 에칭 선택비는 10:1를 초과하였는데, 이는 하드마스크 개구부 에칭 동안 레지스트가 실질적으로 상실되지 않았다는 것을 입증해 보여주었다.
하드마스크(중합체 B)에 대한 그 산화물의 에칭 선택비는 블랭킷 막 상에서 TEL DRM 툴 상에서 수행된 불소탄소계 에칭을 이용하여 레지스트(PAR 715) : 산화물에 대하여 각각 2.5:1 및 3.3:1이었다. 조합한 에칭 선택비는 산화물에서 유기 레지스트로의 패턴 전사의 전반적인 에칭 선택비가 > 20:1이었는데, 이는 임의의공지된 유기 하드마스크에 비해 매우 우수하였다.

Claims (10)

  1. 스핀-온 반사방지 하드마스크 층의 형성에 적합한 조성물로서,
    (a) 발색단 부위 및 투명 부위를 갖는 SiO 함유 중합체,
    (b) 별도의 가교 성분, 및
    (c) 산 생성제
    를 포함하는 조성물.
  2. 제1항에 있어서, 상기 투명 부위는 불포화 탄소-탄소 결합을 실질적으로 함유하지 않은 것인 조성물.
  3. 제1항에 있어서, 상기 발색단 부위는 불포화 탄소-탄소 결합을 함유하는 것인 조성물.
  4. 제1항에 있어서, 상기 투명 부위는 퍼플루오로알킬 및 트리플루오로메틸로 이루어진 군 중에서 선택되는 것인 조성물.
  5. 제1항에 있어서, 상기 SiO 함유 중합체는 가교 성분과 반응하는 중합체에 따라 분포된 다수의 반응성 부위를 더 포함하는 것인 조성물.
  6. 제1항에 있어서, 상기 발색단 부위는 페닐, 크리센, 피렌, 플루오르안트렌, 안트론, 벤조페논, 티오크산톤, 안트라센, 및 탄소-탄소 이중 결합을 함유하는 화합물로 이루어진 군 중에서 선택되는 것인 조성물.
  7. 기판 상에 패턴화된 재료 형상을 형성시키는 방법으로서,
    (a) 기판 상에 재료 층을 제공하는 단계,
    (b) 상기 재료 층 위로 제1항에 기재된 스핀-온 반사방지 하드마스크 조성물로부터 반사방지 하드마스크 층을 형성시키는 단계,
    (c) 상기 하드마스크 층 위로 방사선-민감성 이미지화 층을 형성시키는 단계,
    (d) 상기 이미지화 층을 방사선에 패턴 방식으로 노출시킴으로써 이미지화 층 내에 방사선-노출된 영역의 패턴을 생성시키는 단계,
    (e) 상기 이미지화 층 및 하드마스크 층의 부분을 선택적으로 제거하여 상기 재료 층의 부분을 노출시키는 단계, 및
    (f) 상기 재료 층의 상기 노출된 부분을 에칭함으로써 상기 패턴화된 재료 형상을 형성시키는 단계
    를 포함하는 방법.
  8. 제7항에 있어서, 상기 방사선은 (a) 200 nm 미만의 파장을 갖는 자외선 방사선 및 (b) 전자빔 방사선으로 이루어진 군 중에서 선택되는 것인 방법.
  9. 제7항에 있어서, 상기 재료 층은 유전체, 금속 및 반도체로 이루어진 군 중에서 선택되는 것인 방법.
  10. 제7항에 있어서, 상기 하드마스크 층은 두께 약 0.02-5 ㎛를 갖는 것인 방법.
KR1020047014412A 2002-04-16 2003-04-01 하드마스크 층에 사용하기 위한 반사방지 SiO 함유조성물 KR100910901B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/124,087 US6730454B2 (en) 2002-04-16 2002-04-16 Antireflective SiO-containing compositions for hardmask layer
US10/124,087 2002-04-16
PCT/US2003/010590 WO2003089992A1 (en) 2002-04-16 2003-04-01 Antireflective sio-containing compositions for hardmask layer

Publications (2)

Publication Number Publication Date
KR20040099326A true KR20040099326A (ko) 2004-11-26
KR100910901B1 KR100910901B1 (ko) 2009-08-05

Family

ID=29214533

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047014412A KR100910901B1 (ko) 2002-04-16 2003-04-01 하드마스크 층에 사용하기 위한 반사방지 SiO 함유조성물

Country Status (8)

Country Link
US (1) US6730454B2 (ko)
EP (1) EP1495365A4 (ko)
JP (1) JP4384919B2 (ko)
KR (1) KR100910901B1 (ko)
CN (1) CN1646989B (ko)
AU (1) AU2003230825A1 (ko)
TW (1) TWI268950B (ko)
WO (1) WO2003089992A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100783064B1 (ko) * 2006-03-13 2007-12-07 제일모직주식회사 유기실란계 화합물, 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
KR100783068B1 (ko) * 2006-03-22 2007-12-07 제일모직주식회사 유기실란계 화합물 및 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
KR100783070B1 (ko) * 2006-03-22 2007-12-07 제일모직주식회사 유기실란계 중합체, 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
KR100817914B1 (ko) * 2006-02-27 2008-04-15 주식회사 케맥스 실리콘계 난반사 방지막 형성용 고분자와 이를 포함하는조성물 및 이를 이용한 반도체 소자 패턴의 형성방법
KR100894931B1 (ko) * 2005-04-04 2009-04-27 도쿄 오카 고교 가부시키가이샤 축합 다환식 탄화수소기를 가지는 실리콘 공중합체

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
KR20040075866A (ko) 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
AU2002329596A1 (en) * 2002-07-11 2004-02-02 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
US7202013B2 (en) * 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
JP4430986B2 (ja) * 2003-06-03 2010-03-10 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7112534B2 (en) * 2003-09-25 2006-09-26 Intel Corporation Process for low k dielectric plasma etching with high selectivity to deep uv photoresist
DE10349764B4 (de) * 2003-10-24 2006-08-24 Infineon Technologies Ag Hartmaske zur Strukturierung einer Schicht und Verfahren zur Generierung einer Hartmaske für die Strukturierung einer Schicht
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7680596B2 (en) * 2004-04-06 2010-03-16 Honda Motor Co., Ltd. Route calculation method for a vehicle navigation system
JP4553113B2 (ja) * 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
JP4491283B2 (ja) * 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
JP4541080B2 (ja) * 2004-09-16 2010-09-08 東京応化工業株式会社 反射防止膜形成用組成物およびこれを用いた配線形成方法
US20060078621A1 (en) * 2004-10-13 2006-04-13 Wedinger Robert S Method of providing customized drug delivery systems
US7320855B2 (en) 2004-11-03 2008-01-22 International Business Machines Corporation Silicon containing TARC/barrier layer
US7326523B2 (en) * 2004-12-16 2008-02-05 International Business Machines Corporation Low refractive index polymers as underlayers for silicon-containing photoresists
WO2006065320A1 (en) * 2004-12-17 2006-06-22 Dow Corning Corporation Method for forming anti-reflective coating
EP1819844B1 (en) * 2004-12-17 2008-07-09 Dow Corning Corporation Method for forming anti-reflective coating
KR101191098B1 (ko) * 2004-12-17 2012-10-15 다우 코닝 코포레이션 실록산 수지 피복물
US7375172B2 (en) * 2005-07-06 2008-05-20 International Business Machines Corporation Underlayer compositions containing heterocyclic aromatic structures
US20070015082A1 (en) 2005-07-14 2007-01-18 International Business Machines Corporation Process of making a lithographic structure using antireflective materials
US7326442B2 (en) * 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
KR100665758B1 (ko) * 2005-09-15 2007-01-09 제일모직주식회사 반사방지성을 갖는 하드마스크 조성물
WO2007066597A1 (ja) * 2005-12-06 2007-06-14 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物
JP4553835B2 (ja) * 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
US8263312B2 (en) 2006-02-13 2012-09-11 Dow Corning Corporation Antireflective coating material
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US20070196773A1 (en) * 2006-02-22 2007-08-23 Weigel Scott J Top coat for lithography processes
US7550249B2 (en) * 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
JP2007272168A (ja) * 2006-03-10 2007-10-18 Tokyo Ohka Kogyo Co Ltd レジスト下層膜用組成物及びこれを用いたレジスト下層膜
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
KR100796047B1 (ko) * 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
US7759046B2 (en) * 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7736837B2 (en) * 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US20100093969A1 (en) * 2007-02-26 2010-04-15 Ruzhi Zhang Process for making siloxane polymers
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
CN101622296B (zh) 2007-02-27 2013-10-16 Az电子材料美国公司 硅基抗反射涂料组合物
JP2008266576A (ja) * 2007-03-29 2008-11-06 Air Water Inc ポリシロキサン化合物、その製造方法、及びその用途
US8026035B2 (en) * 2007-03-30 2011-09-27 Cheil Industries, Inc. Etch-resistant disilane and saturated hydrocarbon bridged silicon-containing polymers, method of making the same, and method of using the same
US8017296B2 (en) * 2007-05-22 2011-09-13 Az Electronic Materials Usa Corp. Antireflective coating composition comprising fused aromatic rings
WO2009088600A1 (en) 2008-01-08 2009-07-16 Dow Corning Toray Co., Ltd. Silsesquioxane resins
CN101910253B (zh) * 2008-01-15 2013-04-10 陶氏康宁公司 倍半硅氧烷树脂
US8304161B2 (en) * 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
US8241707B2 (en) * 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP5237743B2 (ja) * 2008-10-02 2013-07-17 東京応化工業株式会社 レジスト下層膜形成用組成物
CN102245674B (zh) 2008-12-10 2014-12-10 陶氏康宁公司 倍半硅氧烷树脂
KR20110096155A (ko) * 2008-12-10 2011-08-29 다우 코닝 코포레이션 습식 에칭가능한 반사방지 코팅
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8519540B2 (en) 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8659115B2 (en) * 2009-06-17 2014-02-25 International Business Machines Corporation Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US8163658B2 (en) 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US20110076623A1 (en) * 2009-09-29 2011-03-31 Tokyo Electron Limited Method for reworking silicon-containing arc layers on a substrate
US8637395B2 (en) * 2009-11-16 2014-01-28 International Business Machines Corporation Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8367540B2 (en) 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8486609B2 (en) * 2009-12-23 2013-07-16 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
US8323871B2 (en) 2010-02-24 2012-12-04 International Business Machines Corporation Antireflective hardmask composition and a method of preparing a patterned material using same
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8896120B2 (en) 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US8373271B2 (en) 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5399347B2 (ja) * 2010-09-01 2014-01-29 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びこれを用いたパターン形成方法
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9018097B2 (en) 2012-10-10 2015-04-28 International Business Machines Corporation Semiconductor device processing with reduced wiring puddle formation
US8999625B2 (en) * 2013-02-14 2015-04-07 International Business Machines Corporation Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR102439087B1 (ko) * 2014-11-19 2022-09-01 닛산 가가쿠 가부시키가이샤 습식제거가 가능한 실리콘함유 레지스트 하층막 형성 조성물
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
EP3391148B1 (en) 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US20190265593A1 (en) * 2016-10-27 2019-08-29 Nissan Chemical Corporation Silicon-containing resist underlayer film-forming composition containing organic group having dihydroxy group
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5180655A (en) * 1988-10-28 1993-01-19 Hewlett-Packard Company Chemical compositions for improving photolithographic performance
JP2547944B2 (ja) * 1992-09-30 1996-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 二層レジスト組成物を使用する光学リソグラフによりサブ−ハーフミクロンパターンを形成する方法
JPH06138664A (ja) * 1992-10-26 1994-05-20 Mitsubishi Electric Corp パターン形成方法
US20020102483A1 (en) * 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
TW439117B (en) * 1999-12-28 2001-06-07 Applied Materials Inc A method for measuring thickness of layers in chemical mechanic polishing process
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP3767676B2 (ja) * 2000-09-12 2006-04-19 信越化学工業株式会社 オルガノシロキサン系高分子化合物及び光硬化性樹脂組成物並びにパターン形成方法及び基板保護用皮膜
DE60128818T2 (de) * 2000-09-19 2008-02-07 Shipley Co., L.L.C., Marlborough Antireflexionszusammensetzung
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100894931B1 (ko) * 2005-04-04 2009-04-27 도쿄 오카 고교 가부시키가이샤 축합 다환식 탄화수소기를 가지는 실리콘 공중합체
US7923524B2 (en) 2005-04-04 2011-04-12 Tokyo Ohka Kogyo Co., Ltd. Silicone copolymer having condensed polycyclic hydrocarbon group
KR100817914B1 (ko) * 2006-02-27 2008-04-15 주식회사 케맥스 실리콘계 난반사 방지막 형성용 고분자와 이를 포함하는조성물 및 이를 이용한 반도체 소자 패턴의 형성방법
KR100783064B1 (ko) * 2006-03-13 2007-12-07 제일모직주식회사 유기실란계 화합물, 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
KR100783068B1 (ko) * 2006-03-22 2007-12-07 제일모직주식회사 유기실란계 화합물 및 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
KR100783070B1 (ko) * 2006-03-22 2007-12-07 제일모직주식회사 유기실란계 중합체, 이를 포함하는 레지스트 하층막용하드마스크 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법

Also Published As

Publication number Publication date
JP2005523474A (ja) 2005-08-04
JP4384919B2 (ja) 2009-12-16
US6730454B2 (en) 2004-05-04
EP1495365A4 (en) 2009-06-03
TWI268950B (en) 2006-12-21
WO2003089992A1 (en) 2003-10-30
CN1646989B (zh) 2011-06-01
CN1646989A (zh) 2005-07-27
US20030198877A1 (en) 2003-10-23
EP1495365A1 (en) 2005-01-12
KR100910901B1 (ko) 2009-08-05
TW200307014A (en) 2003-12-01
AU2003230825A1 (en) 2003-11-03

Similar Documents

Publication Publication Date Title
KR100910901B1 (ko) 하드마스크 층에 사용하기 위한 반사방지 SiO 함유조성물
US7648820B2 (en) Antireflective hardmask and uses thereof
KR100628824B1 (ko) 리토그래피 반사방지 하드마스크 조성물 및 그것의 용도
US6503692B2 (en) Antireflective silicon-containing compositions as hardmask layer
JP4336310B2 (ja) ハードマスク層としてのシリコン含有反射防止層及びその形成方法
US6927015B2 (en) Underlayer compositions for multilayer lithographic processes
KR100908601B1 (ko) 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
JP4086830B2 (ja) スピンオンarc/ハードマスク用のシリコン含有組成物
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
KR100697979B1 (ko) 반사방지 하드마스크 조성물
US20060134547A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
US8323871B2 (en) Antireflective hardmask composition and a method of preparing a patterned material using same
KR100673625B1 (ko) 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR100666023B1 (ko) 하드마스크 층으로서 반사방지 규소 함유 조성물
KR100865684B1 (ko) 고 내에칭성 반사방지 하드마스크 조성물, 패턴화된 재료형상의 제조방법 및 그 제조방법으로 제조되는 반도체집적회로 디바이스

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E801 Decision on dismissal of amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20061212

Effective date: 20070821

J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

J302 Written judgement (patent court)

Free format text: JUDGMENT (PATENT COURT) FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20071019

Effective date: 20080926

J2X2 Appeal (before the supreme court)

Free format text: APPEAL BEFORE THE SUPREME COURT FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL

J221 Remand (intellectual property tribunal)

Free format text: REMAND (INTELLECTUAL PROPERTY TRIBUNAL) FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL

J303 Written judgement (supreme court)

Free format text: JUDGMENT (SUPREME COURT) FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20081024

Effective date: 20090212

J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090216

Effective date: 20090624

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee